CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字钟设计

搜索资源列表

  1. vhdl-digital

    1下载:
  2. VHD L数字钟 设计源码 包括 设计思想 设计模块 -VHD L source, including digital clock design design design module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:45043
    • 提供者:马峰凌
  1. shuzizhong

    0下载:
  2. 山东省多个环节 发的芙蓉突入扼抗 数字钟设计 -Many areas of Shandong Province, digital clock design
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6579
    • 提供者:chen
  1. shuzizhong

    0下载:
  2. 基于vhdl的具备闹钟提醒的多功能数字钟设计与应用-The alarm clock to remind vhdl-based multi-functional digital clock design and application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4963
    • 提供者:云景
  1. digital-clock-based-on-FPGA

    0下载:
  2. 基于FPGA的数字钟设计,编程语言是VHDL,编程环境是Quartus-digital clock based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3674231
    • 提供者:lei
  1. sep3203

    0下载:
  2. 基于sep3203的多功能数字钟设计,功能包括实时时钟显示,闹钟,矩阵键盘设置时钟和闹钟,报时,TFT真彩LCD液晶显示等等,由ADS1.2编译-Sep3203-based multi-functional digital clock features include real-time clock, alarm clock, matrix keyboard to set the clock and alarm clock, timer, TFT LCD LCD ADS1.2 compiled
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-12
    • 文件大小:3043014
    • 提供者:lj
  1. 5.1-PCF8563

    0下载:
  2. 基于pcf8563的数字钟设计,erilog语言编写,以调试-digital clock based on erilog langrage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2426247
    • 提供者:万云
  1. clock1

    0下载:
  2. VHDL语言实现多功能数字钟设计:(1) 计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。 (2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 (3) 设置新的计时器时间:用户用数字键‘0’~‘9’输入新的时间,然后按 "TIME"键确认。 (4) 设置新的闹钟时间:用户用数字键“0”~“9”输入新的时间,然后按“ALARM”键确认。过程与(3)类似。 (5) 显示所设置的闹钟时间:在正常计时显示状态下,用户直接
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:346217
    • 提供者:雪圣
  1. duodiandingshishuzizhongsheji

    0下载:
  2. 多点定时数字钟设计,为实现一简易时钟的功能,需要用到单片机的定时器功能-More time a digital clock design for the realization of the function of a simple clock, need to use single chip microcomputer timer function
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1133
    • 提供者:jiaozhoubin
  1. EDA1

    0下载:
  2. 用VHDL编程实现序列信号发生器与检测器设计和数字钟设计-VHDL programming sequence signal generator and detector design and the design of the digital clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:260493
    • 提供者:高华
  1. mian

    0下载:
  2. 实现数字钟设计,有四个按键可调整时间,按键是用中断实现,符合设计要求-Digital clock, there are four keys to adjust the time, the key is interrupted, meet the design requirements
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:959
    • 提供者:妮妮
  1. vhdl2

    0下载:
  2. 不错的数字钟设计教程,自己照着编写了一边,好使啊!-Good tutorial digital clock design their own written according to the side, so that ah!
  3. 所属分类:Communication

    • 发布日期:2017-12-04
    • 文件大小:164806
    • 提供者:wdc
  1. shuzhizhong(vhdl)

    0下载:
  2. 数字钟设计 计时计数器用24进制计时电路; 可手动校时,能分别进行时、分的校正; 整点报时; 选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。-Digital clock design
  3. 所属分类:assembly language

    • 发布日期:2017-10-31
    • 文件大小:725786
    • 提供者:aaaaa
  1. ep1c12_15_clock

    0下载:
  2. 数字钟设计:该程序完成了在Quartus Ⅱ上使用VHDL语言实现的24小时数字钟设计-Digital clock design: the process is complete Quartus Ⅱ a digital clock using VHDL language design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:72859
    • 提供者:无敌县令
  1. sch-clock

    0下载:
  2. 数字钟设计电路原理图高清 数字钟设计电路原理图高清-Digital clock to design the circuit diagram, hd
  3. 所属分类:Project Design

    • 发布日期:2017-11-25
    • 文件大小:414115
    • 提供者:zhula
  1. clock1

    0下载:
  2. 基于FPGA的数字钟设计代码,可显示时间,报时,调时,在开发板EP3C16Q240C8上可实现。-FPGA-based digital clock design code, time, timekeeping, tune in development board EP3C16Q240C8, to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1813381
    • 提供者:裴蕾
  1. CPLD-digital-clock-design

    1下载:
  2. 基于CPLD实验板的多功能数字钟设计,运用VHDL编写程序-Multifunction digital clock design based on CPLD experimental board, the use of VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:73302
    • 提供者:木子李
  1. PIC16F877A-digital-clock-design

    0下载:
  2. 基于PIC16F877A的多功能数字钟设计,运用C语言设计程序-Use of the C language design program based on the design of multi-functional digital clock PIC16F877A
  3. 所属分类:SCM

    • 发布日期:2017-11-12
    • 文件大小:51351
    • 提供者:木子李
  1. clock

    0下载:
  2. 数字钟设计,完整的代码,适合初学者,完整的数字钟功能,verilog语言-verilog clock design ,fuction is really well .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:22441
    • 提供者:maxruan
  1. digital-clock

    0下载:
  2. 非常完善的数字钟设计,仅仅用单片机的定时器实现,可供学习单片机入门使用。-a quite perfect digital clock design,It is implemented by a timer only
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:809
    • 提供者:Chinazhang
  1. shuzizhong

    0下载:
  2. 基于单片机下的数字钟设计,内含有DSN工程文件和论文,编程源码-Based on single-chip digital clock design contains the the DSN project file and papers, programming source code
  3. 所属分类:SCM

    • 发布日期:2017-11-21
    • 文件大小:482275
    • 提供者:wangyao
« 1 2 3 4 5 67 8 9 10 11 ... 19 »
搜珍网 www.dssz.com