CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字钟设计

搜索资源列表

  1. shuzuzhong

    1下载:
  2. 基于单片机51的数字钟设计,内容包括完整程序和仿真,以及根据设计过程写好的实验报告,可以参考-Digital clock design based on single-chip 51 includes complete program and simulation, as well as written lab report according to the design process, you can refer to
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-28
    • 文件大小:168716
    • 提供者:木小希
  1. 7279

    0下载:
  2. 基于HD7279A的数字钟设计 包含汇编和C代码-Based HD7279A digital clock design includes assembler and C code
  3. 所属分类:SCM

    • 发布日期:2017-11-24
    • 文件大小:643172
    • 提供者:lvzhichang
  1. Digital-clock-design

    1下载:
  2. 数字钟设计 用VHDL实现一个50MHZ到1HZ的分频器,利用Quartus II进行文本编辑输入和仿真硬件测试。实现一个60进制和24进制的计数器。测试成功。-Digital clock design using VHDL a 50MHZ to 1HZ divider using Quartus II simulation for text input and editing hardware test. Achieve a 60 hex and 24 hex counter. Test wa
  3. 所属分类:Other systems

    • 发布日期:2015-02-03
    • 文件大小:240640
    • 提供者:鲁可丹
  1. shuzizhongsheji

    0下载:
  2. 有用的数字钟设计文档,有秒表、闹钟等模块,希望对大家有用!-JUST LEARN FROM IT!!ENJOY!
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:941664
    • 提供者:Mona
  1. lab3_clock_20120520

    0下载:
  2. 基于ise的多功能数字钟设计。适用于basys2开发板-Ise-based multi-functional digital clock design
  3. 所属分类:Grid Computing

    • 发布日期:2017-05-10
    • 文件大小:2148021
    • 提供者:方明凡
  1. VHDL_LCD1602

    0下载:
  2. 基于fpga的万年历和数字钟设计,利用1602显示-Digital clock and calendar design based on fpga, using 1602 shows
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:7563
    • 提供者:fanlong
  1. data_clock

    0下载:
  2. 基于verilog 的数字钟设计过程,含有详细的代码和解释。-Based on the design process verilog digital clock contains a detailed code and explanation.
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:22288
    • 提供者:maxruan
  1. clock2

    0下载:
  2. 基于Verilog HDL及DE2开发板的数字钟设计,使用Verilog HDL实现-Based on Verilog HDL and DE2 development board of the digital clock design, use Verilog HDL to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:581407
    • 提供者:zhouyu
  1. Digital-clock-with-ds1302--

    0下载:
  2. 基于ds1302的数字钟设计,stc单片机,C语言,带液晶显示。-ds1302 digital clock
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:42299
    • 提供者:陈果
  1. 8051clock

    0下载:
  2. 基于8051单片机的数字钟设计方案及代码,适用于做课设的大学生-Based on 8051 digital clock design and code for class-based college students do
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:475152
    • 提供者:yuanxiaodong
  1. clock-for-nios

    0下载:
  2. 基于niosⅡ的数字钟设计,适用于多种FPGA的开发板,修改管脚可移植。-NiosⅡ digital clock design is based on, for a variety of FPGA development board, modify pin portable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:422654
    • 提供者:李悦
  1. e8

    0下载:
  2. 清华大学电子系 数字钟设计实验报告(第8个实验)-Tsinghua University, Department of Electronics, digital clock design lab report (Article 8 experiments)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3476618
    • 提供者:夏冬
  1. Digital-clock

    0下载:
  2. 利用Quartus编程软件及EDA实验板(芯片为EP1C6Q240C8)完成数字钟设计,该数字钟有显示时、分和秒的功能。-When the Quartus programming software and EDA experiment board (chip EP1C6Q240C8) complete digital clock, digital clock showing the hours, minutes and seconds functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:93253
    • 提供者:杨好人
  1. dpjszz

    0下载:
  2. 使用单片机来进行数字钟设计,可以实现计时,定时,温度测量功能,还能进行校正-Digital clock using microcontroller design, can achieve timing, timing, temperature measurement function, can be corrected
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:119719
    • 提供者:llc
  1. Chapter16

    0下载:
  2. 数字钟设计,压缩文件里是工程实例,打开运行即可- U6570 u5B57 u949F u8BBE u8BB u8BBE u8BBE u8A
  3. 所属分类:assembly language

    • 发布日期:2017-12-15
    • 文件大小:349184
    • 提供者:
  1. wannianli

    0下载:
  2. 2、 掌握QuartusII软件的使用; 3、 掌握计数器的设计; 4、 掌握分频器的设计; 5、 掌握时、分、秒的设计; 6、 数码管的扫描显示; 7、 掌握数字钟的整体设计(2, master the use of QuartusII software; 3. Master the design of the counter; 4. Master the design of frequency divider; 5, mastering the design of time,
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:2040832
    • 提供者:夜光
  1. KEY-shuzizhong

    0下载:
  2. 设计按键的挪位,和时钟通过按键进行加减以及复位(Design key position and clock add and subtract and reset)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:329728
    • 提供者:布丁猫
  1. shuzizhong

    0下载:
  2. 基于vhdl语言的多功能数字钟设计,硬件调试成功(Design of multi-function digital clock based on vhdl)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:199680
    • 提供者:lin林
  1. shuzhizhong (1)

    1下载:
  2. 数字时钟的FPGA设计,对学习FPGA有很大的帮助,希望大家能采纳(FPGA design of digital clock has great help for learning FPGA. I hope everyone can adopt it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:177152
    • 提供者:小艾525
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 3 4 5 6 78 9 10 11 12 ... 19 »
搜珍网 www.dssz.com