CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. STATE

    0下载:
  2. 带同步复位的状态机,使用VHDL代码编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2932
    • 提供者:罗兰
  1. STATE1

    0下载:
  2. VHDL源代码,莫尔型状态机,使用VHDL语言编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3383
    • 提供者:罗兰
  1. STATE2

    0下载:
  2. VHDL源代码,使用VHDL语言编写,莫尔型状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3364
    • 提供者:罗兰
  1. STATE3

    0下载:
  2. VHDL源代码,使用VHDL语言编写,异步复位状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3036
    • 提供者:罗兰
  1. STATE4

    0下载:
  2. VHDL源代码,使用VHDL语言编写,米勒型状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3331
    • 提供者:罗兰
  1. STATE5

    0下载:
  2. VHDL源代码程序,使用VHDL语言编写,米勒,莫尔型状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3429
    • 提供者:罗兰
  1. SignalTapII7.2_LAB

    0下载:
  2. a一的个非常经典的演示说明,其中有状态机的表述
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2195836
    • 提供者:xzqjx
  1. state_switch

    0下载:
  2. 这个小程序实现的是排列组合功能,并且方法采用的是状态机方法,通过遍历各种状态来得到全部的排列组合
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:6462
    • 提供者:王骏
  1. ALTERA_DE2_FSM_VHDL

    0下载:
  2. This an exercise in using finite state machines.基于ALTERA的DE2开发 平台,设计一个有限状态机FSM(finite state machines).
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:76130
    • 提供者:sopc
  1. osipc

    0下载:
  2. osip 简单流程 设置OSIP 回调 初使化 及相关的状态机处理
  3. 所属分类:IP电话/视频会议

    • 发布日期:2008-10-13
    • 文件大小:10223
    • 提供者:wjf
  1. State

    0下载:
  2. 状态机资料,状态机是FPGA设计的常用方法,资源多多共享,不亦乐乎!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:590230
    • 提供者:wangzhe
  1. 20312040

    0下载:
  2. 一个简单的计算器 状态机状态间转换实现操作符号的使用 我的第一个windows程序 程序在vc.net编译通过
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:76801
    • 提供者:蔡晓星
  1. ESNforMackeyGlass

    0下载:
  2. ESN(回声状态机网络)的源代码,可以用于时间序列的识别与分类
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2008-10-13
    • 文件大小:411649
    • 提供者:bennix
  1. zhuangtaijijtd_VHDL

    0下载:
  2. 用VHDL 和有限状态机的方法设计了主干道与支干道的交叉路口交通信号灯无人自动管理的控 制系统。将路口红绿灯的各种亮灯情况定义不同的状态,路口状况定义为触发条件,组成有限状态机
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:140415
    • 提供者:wang
  1. GPSdecoder

    1下载:
  2. 采用状态机完成GPS串口信息GPRMC数据的解析,输出并行的年、月、日、时、分、秒信息,可直接移植。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1501
    • 提供者:杨启勇
  1. TMN

    0下载:
  2. 对原始告警信息的完整存储以及预处理是本地电话网网 络管理系统故障管理的核心功能。本文提出了一种基于有限状态机 的告警信息预处理模型, 并应用该模型对检测到的告警消息在时间 和空间上进行相关处理。工程应用表明, 该模型对故障的辨识、诊 断和定位提供了强有力的支持。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:208405
    • 提供者:长城
  1. i80

    0下载:
  2. i80时序说明,在TFT驱动ic使用广泛!愿与大家分享!简单的状态机例子
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:6358
    • 提供者:yaoqinghua
  1. statemachine

    0下载:
  2. 状态机是FPGA系统工程应用中应用较多的工具 能有效实现系统的逻辑功能
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5504
    • 提供者:301z
  1. VHDL

    0下载:
  2. 本文介绍了VHDL硬件描述语言基础,包括: 1.简介 2.基本结构 3.基本数据类型 4.设计组合电路 5.设计时序电路 6.设计状态机 7.大规模电路的层次化设计 8.Function and Procedure
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:32753
    • 提供者:果冻
  1. SIMTUT_TB.VHD

    0下载:
  2. 用StateCAD设计一个“串进并出的加法器”状态机,并使用StateCAD测试激励生成器设计测试激励,验证该状态机,掌握完整的StateCAD设计流程.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13380
    • 提供者: 程凯
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com