CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. 使用VHDL语言设计数字钟

    1下载:
  2. 本文所设计的数字钟具有通过reset键对时、 分、 秒调整功能.该设计分为六个部分: 六进制计数器 counter6,十进制计数器 counter10 ,二四进制计数器 counter24, 时钟模块 bclock, LED扫描显示模块 ledctrl。设计使用VHDL 语言,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1628
    • 提供者:woxisiji
  1. 数字钟的设计

    0下载:
  2. 数字式计时器一般都由震荡器,分频器,译码器及显示几部分组成。其中震荡器和分频器组成标准秒信号发生器,接成各种不同进制的计数器组成计时系统,译码器,显示器组成显示系统,另外一些组合电路组成校时调节系统。-digital timer usually are oscillator, dividers, decoder and display several parts. Which oscillator and divider standard component signal generator s
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:118547
    • 提供者:lee
  1. 付铁刚036089095

    0下载:
  2. vhdl寄存/计数器设计-VHDL Storage / counter design
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6466
    • 提供者:熊辉波
  1. work4dvf

    0下载:
  2. 数控分频器的设计数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:33648
    • 提供者:lkiwood
  1. 减法计数器

    0下载:
  2. EDA常用计数函数VHDL程序设计,减法计数器:可预置数:-common counting function EDA VHDL programming, subtraction counter : Preset :
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2963
    • 提供者:李培
  1. 数字电子技术课程设计-交通灯

    0下载:
  2. 摘要:本文介绍了以计算机为核心.利用可编程并行接口芯片8255A的软硬件功能,实现对交通灯控制 关键词: 计算机 可编程并行接日芯片 交通灯 8255A 引言:交通灯是交通安全的关键,已广泛应用于城乡的十字路口,它的有无作为交通安全检查的重要依据,是交通秩序正常进行的有力保障。 一、实验目的 1. 了解交通灯管理的基本工作原理。 2. 熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及应用编程。 3. 掌握多位LED显示的方法。
  3. 所属分类:多媒体

    • 发布日期:2009-06-07
    • 文件大小:372736
    • 提供者:tc489587464
  1. 单片机课程设计-数字音乐盒

    4下载:
  2. 课程设计题目:数字音乐盒 课程设计要求:1.利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲(最少三首乐曲,每首不少于30秒) 2.采用LCD显示信息 3.开机时有英文欢迎提示字符,播放时显示歌曲序号(或名称) 4.可通过功能键选择乐曲,暂停,播放。 5.选作内容:显示乐曲播放时间或剩余时间 硬件电路:本设计中用到了89C51单片机,4*4键盘,蜂鸣
  3. 所属分类:其它程序

  1. 8253和8259设计电子表

    0下载:
  2. 利用8253可编程定时/计数器、8259中断控制器、8255可编程并行接口芯片和七段数码管设计一个电子表的电路,并编制一程序使得该电子表能正常运行。
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 频率计数器的设计论文

    0下载:
  2. 频率计数器的设计
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-10-17
    • 文件大小:63033
    • 提供者:41257726@qq.com
  1. 实验8 含异步清零和同步使能的计数器的设计

    0下载:
  2. 该压缩包内是一个含异步清零和同步清零的计数器,内还有源代码以及说明文档
  3. 所属分类:VHDL编程

    • 发布日期:2011-08-25
    • 文件大小:711989
    • 提供者:18azrael
  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. 用 vhdl 设计含异步清零和同步时钟使能

    0下载:
  2. 用 vhdl 设计含异步清零和同步时钟使能的十进制加法计数器。再用 vhdl 设计含异步清零和同步时钟使能的十进制加减可控计数器。 -With vhdl design with asynchronous clear and synchronous clock enable decimal up counter. Vhdl design and then synchronize with asynchronous clear and clock enable control counter
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-22
    • 文件大小:1024
    • 提供者:釉雪Dreamer
  1. 微机接口应用设计指导.rar

    1下载:
  2. 微机原理与接口实验指导,包括:A/D转换实验、 D/A转换实验、255A并行口实验、定时器/计数器、数据排序程序、红绿交通灯实验等等 ,computer interface experiment with the principles of the guide, include : A/D conversion experiments, the D/A conversion experiments, experimental 255A parallel port, timer/counter,
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:381744
    • 提供者:张杰
  1. pinluji.rar

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 ,Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4B), decimal counter (CNT10) of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:11246
    • 提供者:深空
  1. 数字电路课程设计

    0下载:
  2. 设计任务与要求 设计并完成可预知的定时显示报警系统。具体要求如下: (1)设计一个可灵活预置时间的计时电路,要求具有时间显示的功能,能准确地预置和清零。 (2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续计时。 (3)要求计时电路递减计时,每隔一秒,计数器减1。 (4)当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。
  3. 所属分类:报告论文

    • 发布日期:2012-09-11
    • 文件大小:732672
    • 提供者:anranxiaohun
  1. 3

    0下载:
  2. .用单片机的定时器和计数器设计一个秒表,LED采用BCD码显示,发光二极管亮表示0,暗表示1,从零开始计时,记到99后从头开始,依次循环,时间间隔为900ms,要求采用定时器0工作于工作方式2。-With the design of single-chip timers and counters a stopwatch, LED BCD code display, light emitting diode light 0, dark one, the timing of starting fro
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:55869
    • 提供者:
  1. wannianli

    0下载:
  2. 使用AVR ATmega128实验箱上的资源,设计万年历。本系统应用了Text LCD,矩阵键盘,定时器/计数器中断系统,另外,我从伺服电机接口PB.5接出一个蜂鸣器。本次实验的目的就是熟悉AVR的这些资源。-AVR ATmega128 me to use up the resources of experimental design calendar. The system applied Text LCD, matrix keyboard, timer/counter interrupt s
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:33060
    • 提供者:dingfeng
  1. counter

    0下载:
  2. 6位数显频率计数器的proteus仿真电路及C语言程序设计,单片机仿真实例-6-digit frequency counter of proteus was circuit simulation and C language programming, microcontroller simulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-22
    • 文件大小:46654
    • 提供者:常余
  1. SingleclocksynchronousdesignmetricCNTR

    0下载:
  2. 用VHDL 设计的单时钟同步十进制可逆计数器的设计-VHDL design using a single clock synchronization decimal CNTR Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:543
    • 提供者:pengy
« 1 23 4 5 6 7 8 9 10 ... 32 »
搜珍网 www.dssz.com