CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. Timer-examples-_asm

    0下载:
  2. 51系列单片机原理及设计实例 随书光盘 ┃第6章例子汇编程序┃定时器/计数器例子程序-51 Series Microcontroller Theory and design examples CD with the book Chapter 6 examples ┃ ┃ assembler timer/counter examples of programs
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:8220
    • 提供者:dnesday
  1. zihuichengxu

    0下载:
  2. 鉴于单纯设置一个计数器易被查出,通过修改使其不起作用。我们设计的程序可以通过数据文件,软件执行前通过关键字找到计数基数,然后计算和判别,如果在合理范围,则设定新的关键字,将计数基数放在新关键字指定的位置,并执行子程序TranDectoBin(in,precision);不合理, 则启动自毁程序。-Given the simple set up a counter easily detected by modifying it does not work. We designed the prog
  3. 所属分类:CSharp

    • 发布日期:2017-04-16
    • 文件大小:9354
    • 提供者:hml
  1. module-counter8

    0下载:
  2. 用verilog实现8为计数器频率范围20-80kHz,根据DDS原理来一个时钟计数器记一下,n=n+1,根据公式fout=(fc÷x)÷2,fout=80 fc=320,所以n≥2时,再取反,又由公式 fout=(k.fc)÷2^n,k=50hz,fout=80khz,fc=320,所以数据的位宽n≥7。 设计要求两路方波信号的相位差在0-360゜可调,可以根据延时来实现。具体的-8 is realized with verilog counter frequency range 20-8
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:24576
    • 提供者:倪飞
  1. 034

    0下载:
  2. 关于计数器的单片机课程设计,技术范围为0-9999,内含程序代码及原理图,欢迎下载。-About counters SCM curriculum design, technology range 0-9999, containing program code and schematics, welcome to download.
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:61245
    • 提供者:lee
  1. dingshiqi

    0下载:
  2. 定时计数器的设计,运用单片机c语言进行编写程序。 -dingshiqi jishuqi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:10159
    • 提供者:tbelieve
  1. cPP

    0下载:
  2. 设计一个带计数使能、异步复位、异步装载、可逆计数的通用计数器。计数结果由七段数码管显示-Designed with a count enable, asynchronous reset, asynchronous loading, reversible counting universal counter. Counting results from the seven-segment LED display
  3. 所属分类:CSharp

    • 发布日期:2017-04-17
    • 文件大小:20944
    • 提供者:liuxiaohong
  1. vhdl

    0下载:
  2. 用计数器、3/8译码器和门电路设计序列信号发生器用示波器观察并测量波形。-Counter, 3/8 decoder circuit design sequence and doors to observe and measure the waveform signal generator with an oscilloscope.
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:572
    • 提供者:lailai
  1. CNT999

    0下载:
  2. 使用VHDL设计999加法计数器,并使用扫描译码电路将数字显示在数码管上。顶层设计使用的原理图-Design using VHDL adder 999 counters, and use the digital scan decode circuit in the digital tube display. Schematic top-level design using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:142492
    • 提供者:杨芸
  1. code

    0下载:
  2. 设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触 发控制的VHDL描述方法以及异步清零的描述方法。 -Design a synchronous binary counter twenty-four understanding count the trigger synchronization mechanism, master synchronous trigger VHDL descr iption method and asynchronous clear desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:657
    • 提供者:张双图
  1. code

    0下载:
  2. 通过对VGA 接口的显示控制设计,理解VGA 接口的时序工作原理,掌握通过计数器产 生时序控制信号的方法以及用MEGEFUNCTION 制作锁相环的方法。-Through the VGA display control interface design, understanding the timing works VGA interface, timing control method of generating control signals produced by the count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:574
    • 提供者:张双图
  1. bahe2

    0下载:
  2. 拔河游戏机, 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。 教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的
  3. 所属分类:Other Games

    • 发布日期:2017-04-16
    • 文件大小:453867
    • 提供者:DEDE
  1. shumaguan_jishuqi

    0下载:
  2. 数码管显示计数器,可作为毕业设计作品,课程设计作品!本人已做成成品,效果不错的哦!内有原理图,源程序,仿真图。需要的可以下载哦!-Digital tube display counters, as part of the graduation design, curriculum design works! I have already finished, effect is good oh! There are schematics, source code, simulation diagr
  3. 所属分类:CSharp

    • 发布日期:2017-04-24
    • 文件大小:201131
    • 提供者:文野
  1. proteus

    0下载:
  2. 基于proteus以及单片机的计数器的设计,使用proteus实现了软件仿真-Proteus and counter microcontroller based design, realized using software simulation proteus
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-24
    • 文件大小:50376
    • 提供者:张燕
  1. counter

    0下载:
  2. 在FPGA 设计中,计数器可以用来对信号的变化情况进行计数,是经常使用的功能块。 这里设计的是一个2 位宽计数器,可以从00 计数到11,计数原则是在时钟信号的控制下,每个时钟周期计数一次。计数器属于时序逻辑电路。-In the FPGA, the counter can be used to count the changes in the signal, the function block is often used. Here design is a two-bit wide,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:716
    • 提供者:Lily
  1. counter

    0下载:
  2. 利用51单片机的中断功能实现计数器的程序设计。-Use of 51 single-chip microcomputer interrupt function implementation counter of program design.
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:149954
    • 提供者:jwb
  1. CNT10

    0下载:
  2. vhdl设计的十进制计数器,仿真测试正确,可以使用。-decimal counter vhdl design, simulation tests correctly, can be used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:596
    • 提供者:高立新
  1. decoder

    0下载:
  2. 学习使用结构描述方法(层次设计),设计4位二进制计数器7段数码显示译码器;学习和掌握模块例化语句应用。-Learn to use the structure described methods (hierarchical design), design 4 binary counter 7-segment display decoder learn and master module instantiation statement applications.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:101355
    • 提供者:YINJIE
  1. start_lab4

    0下载:
  2. 用Verilog设计一个时间基准电路和带使能的多周期计数器,并在此基础是设计一个简单的秒表0.0-10.0计数- Verilog design with a time reference circuit and with enable multi-cycle counter, and on this basis is to design a simple stopwatch count 0.0-10.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22189351
    • 提供者:林森
  1. trafficlight_work

    0下载:
  2. 运用verilog编程的简单程序 用于大学数字逻辑实验 交通灯控制器 具体设计要求: 1、十字路口,东西方向,南北方向红黄绿交通灯各三盏,绿灯通行,红灯停止,黄灯过渡准备 2、东西方向、南北方向两交通要道的通行时间控制基本相等 3、绿灯4秒,黄灯2秒,红灯6秒。与使用交通灯相似 4、加入人互干预交通灯控制,使红绿灯在人工干预控制下即可翻转 5、红灯待时,同时显示(计数器减1显示),当计数器显示为零时,即可通行。-a little work for universi
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-07
    • 文件大小:462937
    • 提供者:段杰
  1. DE2_LED_sm

    0下载:
  2. 驱动DE2—70开发板上数码管,并设计了一个时钟计数器,时钟计数时,分,秒。-DE2 70 development board driver digital tube, and designed a clock counter, clock count, minutes, seconds.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:861022
    • 提供者:李桐
« 1 2 ... 23 24 25 26 27 2829 30 31 32 »
搜珍网 www.dssz.com