CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. 利用C8051F310单片机设计一个LED灯控制器

    1下载:
  2. 实验目的:加深对定时/计数器、中断、IO端口的理解,掌握定时/计数器、中断的应用编程技术及中断程序的调试方法。 实验内容:利用C8051F310单片机设计一个LED灯控制器 主要功能和技术指标要求: 1. LED灯外接于P0.0端。 2. LED灯分别按2Hz,1Hz和0.5Hz三种不同频率闪动,各持续10s。 3. 在LED灯开始和停止闪烁时蜂鸣器分别鸣响1次。 4. 利用单片机内部定时器定时,要求采用中断方式。 提高要求: 使用按键(KINT
  3. 所属分类:汇编语言

    • 发布日期:2017-03-21
    • 文件大小:729600
    • 提供者:陈定
  1. Digital-system-EDA

    0下载:
  2. 四位二进制数可预置可逆计数器设计 学习使用MAX+PlusⅡ文本编辑器的模板输入方法,熟悉常用语句的语法现象,掌握VHDL功能描述和结构描述的方法。-Four binary number can be preset the reversible counter design learning using a text editor MAX+Plus Ⅱ template input method, familiar with common statement syntax phenomenon
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:161608
    • 提供者:王海阔
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. counter

    0下载:
  2. 四位计数器设计,完整的设计工程文件在counter文件夹下-Binary code conversion design, complete design engineering files in data_convert file folder...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:1138652
    • 提供者:xiebaiyuan
  1. VHDL_CNT10

    0下载:
  2. 带有异步复位和同步时钟使能的十进制加法计数器设计-With asynchronous reset and synchronous clock enable decimal addition counter design
  3. 所属分类:assembly language

    • 发布日期:
    • 文件大小:572
    • 提供者:FloraChen
  1. jishuqi

    0下载:
  2. 交通灯计数器设计,VHDL文件,搭载后续的文件程序可以构成完整交通灯程序。-Traffic light counter design, VHDL files, program files can be equipped with up to form a complete traffic lights.
  3. 所属分类:Other systems

    • 发布日期:2017-12-03
    • 文件大小:1748619
    • 提供者:张俊
  1. JSQ

    0下载:
  2. 基于MSP430 增量编码器方波计数器设计源程序 -MSP430-based incremental encoder square counter design source
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:1608
    • 提供者:cookies
  1. Counter

    0下载:
  2. 用VHDL设计具有清除端、使能端,计数范围为0-999的计数器设计。输出为8421BCD码-VHDL design with a clear end to enable the end, the design for the counter counting range 0-999. 8421BCD code output
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:909
    • 提供者:胡冰涛
  1. Mold-sixty-counter

    0下载:
  2. 基于FPGA的模六十计数器设计。在xilinx上运行。-FPGA-based design mold sixty counter. Runs on xilinx.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4305
    • 提供者:
  1. Precision-frequency-meter

    0下载:
  2. 本系统基于C8051F020实现等精度的频率测量,利用该单片机灵活的控制功能及速 度优势,大大提高了性能和测量精度。以往的测频都是采用高频段直接计数、低频段间接测周 的方法,其测量精度往往会随着被测频率的改变而受到影响。为了克服测频中高低频段测量精 度不等的缺陷,采用双计数器设计,实现等精度的频率测量。等精度的测量方法不但具有较高 的测量精度,而且在整个测频区域内保持恒定的测量精度。-The system is based on C8051F020 achieve equal p
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:85956
    • 提供者:汪志
  1. DCNT60

    0下载:
  2. 60进制计数器设计仿真文件,已经经过仿真,程序及仿真结果无误。-60 binary counter design simulation files, has been the simulation program and simulation results are correct.
  3. 所属分类:software engineering

    • 发布日期:2017-05-17
    • 文件大小:4200459
    • 提供者:丁丁
  1. LIBRARY-IEEE

    0下载:
  2. 加法计数器的设计 任意进制的计数器设计-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY sun IS PORT(ENA,CLK_IN,CLR:IN STD_LOGIC Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) END sun ARCHITECTURE A OF sun IS SIGNAL CLK:STD_LOGIC SIGNAL TEMP:INTEG
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:2787
    • 提供者:木子
  1. lab4_solution

    0下载:
  2. ANVYL自带的例子,计数器设计,VHDL语言的。-ANVYL own example, counter design, VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:762804
    • 提供者:wei
  1. counter

    2下载:
  2. 用verilog语言实现计数器设计,其中包括同步加法计数器、同步减法计数器、异步加法、异步减法-Design verilog language implement counter
  3. 所属分类:assembly language

    • 发布日期:2017-04-29
    • 文件大小:17462
    • 提供者:迅雷
  1. s5

    0下载:
  2. 清华大学电子系 时序逻辑实验报告 包括:触发器设计,计数器设计,累加器设计,序列检测器设计/有限状态机实现-Tsinghua University, Department of Electronics, sequential logic test report include: trigger design, counter design, accumulator design, the sequence detector design/finite state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4785527
    • 提供者:夏冬
  1. skfp

    0下载:
  2. 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,参考代码中的数控分频器是用可并行预置的加法计数器设计完成的,当加法计数器溢出时进行并行预置。-The function of NC divider is when in the input given different input data, the input clock signal has a different frequency ratio, reference code in the NC divi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2910
    • 提供者:张宇晴
  1. 4.9.4

    0下载:
  2. 基于8086汇编语言中使用8253A定时/计数器设计跑表。-Based on the 8086 assembly language using the 8253A timer/counter design run the table.
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:463284
    • 提供者:王龙青
  1. 0037、基于单片机的数字钟设计论文资料

    0下载:
  2. 本设计以单片机AT89S52为切入点,通过使用AT89S52的内部的可编程定时器/计数器,结合对外接晶振的调节来确定一个合适的振荡周期,从而确定出内部的机器周期。再通过对内部中断程序的设置来设计出时钟程序,即设计出了电子时钟的核心。然后在核心电路的基础上设计出了相应的扩展电路,使本设计更加实用。(The AT89S52 single-chip design as the starting point, through the use of AT89S52 internal programmabl
  3. 所属分类:单片机开发

  1. dianzijishuqi

    0下载:
  2. 设计一个基于单片机的电子计数器,并且能够实现暂停,继续,复位功能。 (2) Protel专用软件绘制单片机原理图; (3) 设计出软件编程方法,并写出源程序; (4)用C语言实现程序设计。; (5)用Keil软件编写HEX等相关文件; (6)程序的各功能模块要清楚,有序。(Singlechip electronic device)
  3. 所属分类:嵌入式/单片机编程

  1. timer

    0下载:
  2. 单片机定时计数器设计程序, 可以学习参考(The SCM timing counter is designed for reference)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-28
    • 文件大小:1024
    • 提供者:zhaobo
« 1 2 3 4 56 7 8 9 10 ... 32 »
搜珍网 www.dssz.com