CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计数器设计

搜索资源列表

  1. Counter

    0下载:
  2. PROTEUS下仿真LPC2106,运行UCOS设计的计数器程序,通过两个按键来控制加减计数器,并输出数码管显示。包括源程序和仿真电路。
  3. 所属分类:uCOS开发

    • 发布日期:2014-01-17
    • 文件大小:140077
    • 提供者:龚剑
  1. counter1

    0下载:
  2. ASP常用模块设计,访客计数器,图形模式访客计数器的实现代码
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:47457
    • 提供者:马页
  1. counter60

    0下载:
  2. 该实验设计模60计数器,并通过数码管进行显示,最后实现秒表的功能。7段数码管采用共阴极数码管,如图1所示,当某段接有高电平时该段将发光。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:939
    • 提供者:张龙
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. Digital

    0下载:
  2. 数字频率计数器又称通用计数器,是电子测量领域中最常见的测量仪器之一。它可以测量正弦波的频率(周期),脉冲波的频率(周期),脉冲宽度等时间参数。在通信,电子等领域中有广泛的应用。本文对传统的测频方法中存 在的精度低的问题进行了分析:数字频率计数器在测量高频信号时能够达到足够高的测量精度,但在测低频信号时,其测量结果的有效位数将会减少,精度也会相应的降低。在此基础上提出了一种基于单片机的等精度测频率,测周期,测脉冲宽度的实现方法。介绍了单片机的内部计数器与程序的运算功能进行等精度测量方法的实现。给出
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:21406
    • 提供者:高文斌
  1. verilog_shili

    0下载:
  2. 计数器 锁存器 12位寄存器 带load,clr等功能的寄存器 双向脚(clocked bidirectional pin) 一个简单的状态机 一个同步状态机 用状态机设计的交通灯控制器 数据接口 一个简单的UART 测试向量(Test Bench)举例: 加法器源程序 相应加法器的测试向量test bench)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11433
    • 提供者:李进来
  1. zkheartboy

    0下载:
  2. 一个单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,即单片机内部的功能单元,如ROM、RAM、I/O、定时器/计数器、中断系统等不能满足应用系统的要求时,必须在片外进行扩展,选择适当的芯片,设计相应的电路。二是系统的配置,即按照系统功能要求配置外围设备,如键盘、显示器、打印机、A/D、D/A转换器等,要设计合适的接口电路。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:32836
    • 提供者:cgb
  1. 8255A控制步进电机汇编程序

    0下载:
  2. 8255A控制步进电机汇编程序 步进电机作为执行器件,广泛用于自动控制系统、印字位置的控制及XY记录仪的无关控制等各个领域,而步进电机最适宜于用微处理器来控制,此法应用于实验教学、科研中效果良好。 1、实现全数字化驱动电路 设计思路是采用步进脉冲分配器专用芯片PMM8713和程序计数器8253的方法。图1是采用可编程计数器8253、8255和PMM8713芯片的全数字控制方式接线图。在单片机系统中,只连接8253、8255和PMM8713各一个。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:208484
    • 提供者:王辉
  1. MyCounter

    0下载:
  2. 可自由配置的通用计数器,我设计的时候一直在用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:810
    • 提供者:侯浩
  1. digital_cymometer

    1下载:
  2. 简易数字频率计利用复杂可编程逻辑器件FPGA,VHDL编程将所有功能模块集成在一块芯片上。功能模块包括时基脉冲发生器、计数器、数据锁存器和显示电路4部分。设计时先分别设计各功能模块,并调试得到正确仿真结果,然后将各个功能模块组合起来。最后作整体仿真、下载,得到实物。由于采用纯数字硬件设计制作,稳定性、可靠性远远高于使用单片机或模拟方式实现的系统,外围电路简单。该数字频率计达到预期要求,实现了可变量程测量,测量范围0.1Hz—9999MHz,精度可达0.1Hz。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-17
    • 文件大小:412830
    • 提供者:严术骞
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:160203
    • 提供者:thocr
  1. shuzixitongshiyan

    0下载:
  2. 这个给QuartusII初学者用的,里面很清楚的通过几个例子来告诉怎么运用QuartusII. 实验1:Quartus入门 实验2:简单的组合逻辑电路设计 实验3:七段数码管显示 实验4:BCD码显示及运 实验5:触发器和计数器 实验6:存储器的设计 实验7:基于DE2 的SOPC系统开发附录:
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:755392
    • 提供者:yulieyar
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. calculater

    0下载:
  2. 此程序设计了一个多功能计数器,能完成加、减、乘、除、开方、正弦、余弦等了功能。其开发开台是keil、proteus。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6311
    • 提供者:
  1. 1002016p_Sa_5

    0下载:
  2. 用VHDL语言实现8位十进制计数器的设计,计数结果用实验板上8个数码管显示
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:54258
    • 提供者:chenli
  1. javachengxushejiyuanma

    0下载:
  2. 简单的java入门编程,包括计算器,计数器等。只java程序设计的例程
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:35472
    • 提供者:李璠
  1. dianzibaojingqi

    0下载:
  2. 电子警报器设计,是在TND-MD教学系统上实现的,所使用的芯片主要有8253定时计数器、8259A中断控制器、8255A并行接口电路芯片。通过按键实现报警与不报警的功能。 源代码在文档最后,附有注释。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:15200
    • 提供者:飘零
  1. div

    0下载:
  2. 分频器是FPGA设计中使用频率非常高的基本单元之一。尽管目前在大部分设计中还广泛使用集成锁相环(如altera的PLL,Xilinx的DLL)来进行时钟的分频、倍频以及相移设计,但是,对于时钟要求不太严格的设计,通过自主设计进行时钟分频的实现方法仍然非常流行。首先这种方法可以节省锁相环资源,再者,这种方式只消耗不多的逻辑单元就可以达到对时钟操作的目的。 偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么可以通过由待分频的时钟触发计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1974
    • 提供者:王子
  1. shinengcount

    0下载:
  2. 带使能、 异步复位端的十二进制计数器的 设计的一个例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:919
    • 提供者:chenwen
  1. txunit1

    0下载:
  2. UART发送TX控制电路设计,以波特率产生器的EnableTX将数据DATAO以LOAD信号将其送入发送缓冲器Tbuff,并令寄存器内容已载有数据而非空出的标志tmpTBufE=0。当同步波特率信号来临时监视是否处于tmpTBufE=0(内有数据)以及tmpTRegE=1(没有数据)。即处于尚未启动发送态则将Tbuff缓冲寄存器 送入传输寄存器Treg内并令tmpTRegE=0(内又送入数据),但因Tbuff已转送入缓冲寄存器TregE内,为空故令tmpTBufE=1,此tmpTBufE代表缓冲
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1651
    • 提供者:袁迎迎
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 32 »
搜珍网 www.dssz.com