CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 进制 计数器

搜索资源列表

  1. 55478362cntshow

    0下载:
  2. Quartus环境下的12进制计数器的扫描显示电路-Quartus environment of the 12 counter-band scanning display circuit
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:139611
    • 提供者:吴语
  1. cnt60

    0下载:
  2. 同步计数器和异步计数器在设计时有哪些区别?试用 六进制计数器和一个十进制计数器构成一个六十进制同步计数器。-synchronous and asynchronous counter counter in the design these differences? 6 probation and 229 counters constitute a decimal counter a six decimal synchronous counter.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:848
    • 提供者:sunqionghui
  1. 使用VHDL语言设计数字钟

    1下载:
  2. 本文所设计的数字钟具有通过reset键对时、 分、 秒调整功能.该设计分为六个部分: 六进制计数器 counter6,十进制计数器 counter10 ,二四进制计数器 counter24, 时钟模块 bclock, LED扫描显示模块 ledctrl。设计使用VHDL 语言,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1628
    • 提供者:woxisiji
  1. 60COUNTER

    0下载:
  2. 六十进制计数器.电子万年历是计数器的应用之一.年由月的十二进制计数器进位+1得到.月是日的三十进制计数器进位+1得到.日是小时的二十四进制计数器进位+1得到.小时是分的六十进制计数器进位+1得到.分是秒的六十进制计数器进位+1得到.本程序基于VHDL.其开发环境是MAXPLUS2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4662
    • 提供者:weixiaoyu
  1. cnt6

    0下载:
  2. 基于vhdl的6进制计数器模块,实现0-5计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22782
    • 提供者:贝凯
  1. cnt10

    0下载:
  2. 基于vhdl的10进制计数器模块,实现0-9计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24101
    • 提供者:贝凯
  1. 60counter

    0下载:
  2. 60进制计数器,其功能是实现60进制计数的vhdl语言
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1749
    • 提供者:史乐
  1. 2395879287591207

    0下载:
  2. 任意进制计数器的仿真分析multisim8
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:91875
    • 提供者:黄建军
  1. Counter

    0下载:
  2. VHDL硬件描述,使用环境为Quartus2 6.1 分别为16进制及60进制计数器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:261893
    • 提供者:李若珍
  1. cont60

    0下载:
  2. 这个压缩程序包含两个60进制计数器的源代码,可供习惯不同编程风格的用户使用
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1465
    • 提供者:吴明星
  1. dianzishizhong

    0下载:
  2. 题目:电子时钟的设计 一、实验目的: 1. 掌握多位计数器相连的设计方法。 2. 掌握十进制、六十进制、二十四进制计数器的设计方法。 3. 继续巩固多位数码管的驱动及编码。 4. 掌握扬声器的驱动 5. 掌握EPLD技术的层次化设计方法 二、实验要求: 1.用时、分、秒计数显示功能,以24小时循环计时。 2.具用清零,调节小时、分钟功能。 3.具用整点报时功能。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:167964
    • 提供者:li
  1. VHDL

    0下载:
  2. 数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:901776
    • 提供者:李帆
  1. cnt10

    0下载:
  2. 10进制计数器,VHDL描述的,实验必备
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45923
    • 提供者:li
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. 100hexadecimalcounter

    0下载:
  2. 用vhdl对GAL22V10进行编程,实现100进制计数器-Using VHDL programming on GAL22V10, 100 hexadecimal counter
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2892
    • 提供者:蔡天翼
  1. cnt10

    0下载:
  2. 一个用VHDL语言编写的十进制计数器,后续还有分频器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -VHDL language us
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:242423
    • 提供者:QQ
  1. AHDL语言示例

    0下载:
  2. AHDL语言示例.doc AHDL语言216进制计数器
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2012-11-13
    • 文件大小:131584
    • 提供者:coolhandy
  1. 11

    0下载:
  2. cnt6.bdf 六进制约翰逊计数器 counters.vhd 不同功能的简单计数器 count60.vhd 60进制计数器 count60.bdf 60进制计数器 counter_1024.vhd 8位二进制计数器 counter_1m.vhd 16位二进制计数器 counter.vhd N进制计数器-M Johnson cnt6.bdf six different functions counters.vhd counter simple counter count
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:7764
    • 提供者:libing
  1. VHDL1

    0下载:
  2. 数字电子时钟中,秒和分要求要有60进制计数器和24进制计数器,此为60进制计数器-Digital electronic clock, the seconds and sub-band requires 60 counters and 24-ary counter, this counter is 60 hexadecimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:900
    • 提供者:张智焜
  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
« 1 23 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com