CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 频率计 VHDL

搜索资源列表

  1. 等精度频率计

    1下载:
  2. 使用vhdl语言写的fpga的应用程序,使献策内容为等精度频率计-use of the VHDL language they simply write the application procedures so that such ideas as to accuracy Cymometer
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:252020
    • 提供者:丢丢熊
  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. 15883852DJDPLV_LWB

    0下载:
  2. 数字频率计毕业论文 不是自己做的-Digital Cymometer thesis do not own. . Ha ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:30983
    • 提供者:崔晓进
  1. plj

    0下载:
  2. 这是一个基于可编程逻辑器件的程序,用来实现自动转换量程频率计控制器,该程序在可以再仿真器上仿真实现-This is a programmable logic device based on the procedures used to automatically convert the frequency range of the controller, the program can be in the simulation simulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:175731
    • 提供者:jyb
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. FPGA-baseddesignofdigitalrequencymeter

    0下载:
  2. 基于FPGA数字频率计的实现,文中有所有的源代码,仅供参考。-FPGA-based realization of the digital frequency meter, text in all the source code, for reference purposes only.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:10449
    • 提供者:helinglin
  1. fequency

    0下载:
  2. 一款可用于数字频率计设计的IP核,使用该IP核科研构建基于SOPC技术的片上数字频率计,测频范围较宽。-A digital frequency meter using IP core
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:203840
    • 提供者:yzhuai
  1. pinglvjiFPGA

    0下载:
  2. 基于等精度原理的频率计verlog代码,被测频率在1HZ到10MHZ误差百分比相同。-Based on the principle of the frequency of such precision code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1183676
    • 提供者:shengxi
  1. szplj

    0下载:
  2. 数字频率计,可以实现0.1至100000倍数之间的测量。-Digital frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3021
    • 提供者:cxp
  1. system

    1下载:
  2. 基于vhdl的简易数字频率计设计,已经经过调试,可直接使用-Vhdl based on a simple digital frequency meter design, have been debugging, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2603342
    • 提供者:郭帅
  1. DDS

    0下载:
  2. VHDL经典设计 十进制 VHDL 频率计-VHDL classic design metric VHDL frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:277768
    • 提供者:刘思行
  1. FPGApinlvji

    0下载:
  2. 当年本科时的毕业设计,信号发生器和频率计-The time of the year undergraduate graduate design, signal generator and frequency counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:10384
    • 提供者:zhanglei
  1. frequencycounter

    0下载:
  2. 一个简单大家容易看的懂的频率计设计程序,可以实现自动换挡功能。-A simple and easy to see to understand all of the frequency counter design program that can automatically shift feature.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1851
    • 提供者:zhangliang
  1. LCDfcout

    0下载:
  2. FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2251525
    • 提供者:wang wen tao
  1. vhdl

    0下载:
  2. 基于FPGA的等精度频率计 频率测试功能:测量范围1Hz~20MHz。测频精度:测频全域相对误差恒为万分之一基于FPGA的等精度频率计-based on FPGA precision frequency meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:136810
    • 提供者:candice
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. FPGA-VHDL-dengjingduc

    0下载:
  2. 本文介绍了基于VHDL语言的十进制等精度频率计的设计,采用VHDL 语言,运用自顶向下的设计思想,将系统按功能逐层分割的层次化设计方法,使用Quartus8.0开发环境,实现了频率计的设计。 -This article describes the decimal-based VHDL, and other precision frequency meter design, using VHDL language, the use of top-down design, the system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:280703
    • 提供者:筱诺
  1. VHDL测频率周期

    0下载:
  2. 用VHDL语言编写的频率计程序,用来测量方波的频率以及周期。
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-13
    • 文件大小:602026
    • 提供者:1577145788
  1. 方案二

    0下载:
  2. 本系统是基于CPLD和单片机的一种用于信号频率周期、时间间隔和占空比测量的数字频率计,系统由AGC(自动增益控制)电路、宽带放大电路、高速比较电路实现有效值10mV/频率100MHz和处理显示部分组成,其中AGC电路实现幅度自动增益控制使放大后的信号幅度在一定的范围内保持一致,比较电路将前级电路输出的信号转换成CPLD,利用等精度测频原理,实现闸门时间1S的高精度测量。单片机通信处理数据并显示,数据表明,系统精度达到发挥部分要求。(This system is a CPLD microcontr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:77824
    • 提供者:小竹丶
« 1 23 4 5 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com