CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 24进制计数器

搜索资源列表

  1. 2460100Time

    0下载:
  2. 24,60,100进制的计数器,还有数字时钟,欢迎下载哦~-24,60,100 229 of the counter, digital clock also welcome to download oh ~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2118
    • 提供者:张春
  1. counter24

    0下载:
  2. 通过硬件描述语言编程实现了计数器,可以实现二十四进制的数-Through hardware descr iption language programming to achieve the counter, can achieve a few 24 M
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:146881
    • 提供者:hulijing
  1. shuzizhong

    0下载:
  2. 数字钟设计,分别由一个24和60进制的计数器及显示模块组成。-It is about a design of digital clock,which is comprised a 24 and a 60 counting device and a display device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1214889
    • 提供者:
  1. shuzhizhong(vhdl)

    0下载:
  2. 数字钟设计 计时计数器用24进制计时电路; 可手动校时,能分别进行时、分的校正; 整点报时; 选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。-Digital clock design
  3. 所属分类:assembly language

    • 发布日期:2017-10-31
    • 文件大小:725786
    • 提供者:aaaaa
  1. math

    0下载:
  2. 数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。-Digital clock, designed a show date, hours, minutes, seconds digital electronic clock and hourly chime function. 1HZ standard signal generated by th
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:1437591
    • 提供者:袁宇
  1. jishuqi

    0下载:
  2. EDA实现计数器功能十六进制和二十四进制-EDA counter function hex and 24 quaternary
  3. 所属分类:Other systems

    • 发布日期:2017-03-21
    • 文件大小:501619
    • 提供者:王丹
  1. clock

    0下载:
  2. 设计一台能显示时、分、秒的数字电子钟,具体要求如下: (1)时计数器用24进制计时电路,分、秒计数器用60进制计分、计秒电路; (2)可手动校时,能分别进行时、分的校正; (3)能实现整点报时功能。 -Design a table can display hours, minutes and seconds of digital electronic clock, the specific requirements are as follows: (a) when the cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:935353
    • 提供者:mike
  1. Digital-clock-design

    1下载:
  2. 数字钟设计 用VHDL实现一个50MHZ到1HZ的分频器,利用Quartus II进行文本编辑输入和仿真硬件测试。实现一个60进制和24进制的计数器。测试成功。-Digital clock design using VHDL a 50MHZ to 1HZ divider using Quartus II simulation for text input and editing hardware test. Achieve a 60 hex and 24 hex counter. Test wa
  3. 所属分类:Other systems

    • 发布日期:2015-02-03
    • 文件大小:240640
    • 提供者:鲁可丹
  1. cny24

    0下载:
  2. 24进制加法计数器适用于vhdl和quartus-24 binary adder vhdl counter applied and quartus
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1107
    • 提供者:流火
  1. shuzizhong

    0下载:
  2. 数字钟,校时较分,显示,用元件例化写的vhdl文件,两个24进制,1个60进制计数器-Digital clock, when the school over the points, show cases with elements of writing vhdl file, two 24-band, a 60-ary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:468353
    • 提供者:范天恩
  1. Digital-clock

    0下载:
  2. 实现数字钟的功能1. 时钟模块:由555振荡器提供时钟,经分频对计数器提供计数时钟信号; 2. 秒钟模块:对秒进行60进制循环计数,并向分钟产生进位,同时具有调分功能; 3. 分钟模块:对分进行60进制循环计数,并向小时产生进位,同时具有调时功能; 4. 小时模块:对小时进行24进制循环计数; 5. 报时模块:在整点时报警,持续约1秒钟; 6. 闹钟模块:在所设定的点进行闹铃,持续1分钟,可以中断; 7. 年月日模块:可以正常地显示年月日。 -To realize t
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:7063
    • 提供者:曹婷
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. Multi-function-digital-clock

    0下载:
  2. QuartusII开发的EDA 采用两个双十进制计数器74390 以及其他部件 组成了具有暂停 清零 调时针 调分针 12 24进制转换 整点报时等功能的多功能数字钟-QuartusII EDA developed using two pairs of decimal counter 74390 as well as other components of tune with the suspension cleared tone hour minute 1224 hex conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:5046
    • 提供者:pan
  1. digital-clock-circuit-.ms13

    0下载:
  2. 数电_Multisim设计_数字时钟电路 (显示时:分:秒 CP 频率 f 1Hz) 【电路说明】 1 基于 74LS160 做三个计数器(时:24 进制,分:60 进制,秒:60 进制) 2 秒针计数器完成一次计数后,进位给分针计数器的 P 和 T。 分针计数器完成一次计数后,进位给时针计数器的 P 和 T。-Digital circuit _Multisim design _ digital clock circuit (Display: hours: minutes
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-13
    • 文件大小:205146
    • 提供者:WeiDi
« 1 2»
搜珍网 www.dssz.com