CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 4位全加器

搜索资源列表

  1. add_2p

    0下载:
  2. 2级流水线,使用4元件实现的22位全加器的VHDL语言实现,适用于altera的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1761
    • 提供者:wgx
  1. add_3p

    0下载:
  2. 3级流水线,含4元件的22位全加器的VHDL语言实现,适用于altera系列的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1952
    • 提供者:wgx
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. afulladder

    0下载:
  2. 1位全加器 可以进行1位的二进制码的加法 想进行改进 改为4位或8位的全加器代码-A full adder can be an addition of the binary code would be changed to improve the 4 or 8-bit full adder code
  3. 所属分类:Document

    • 发布日期:2017-04-13
    • 文件大小:1556
    • 提供者:dumin
  1. cd4000x

    0下载:
  2. CD4000 双3输入端或非门+单非门 TI   CD4001 四2输入端或非门 HIT/NSC/TI/GOL    双4输入端或非门 NSC   CD4006 18位串入/串出移位寄存器 NSC   CD4007 双互补对加反相器 NSC   CD4008 4位超前进位全加器 NSC   CD4009 六反相缓冲/变换器 NSC   CD4010 六同相缓冲/变换器 NSC   CD4011 四2输入端与非门 HIT/TI   CD4012 双4输入端与非门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2422625
    • 提供者:徐科峰
  1. quanjiaqi

    0下载:
  2. 4 级流水方式的8 位全加器-Way flow of 4 full adder 8. . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:605
    • 提供者:lzndcb
  1. quartus

    0下载:
  2. 通过使用4位全加器和4位比较器以及相关组合逻辑的使用并结合BCD码加法规则构成4位BCD码加法器。-Through the use of four full adder and 4-bit comparator and associated logic of the use and combination with BCD adder rules constitute four BCD adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5300
    • 提供者:姚远
  1. Four-adder-and-four--counter

    0下载:
  2. 4位全加器和计数器的verilog的例程,还有四位全加器的仿真程序。-Four QuanJia device and counter verilog of the routines, and four QuanJia device simulation program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2902
    • 提供者:明晓昕
  1. Four-adder-of-subtracter

    0下载:
  2. 在max+plus II 的环境下设计4位全加器数字电路 使用vhdl语言,进行设计数字电路的RTL级电路 -Four full adder digital circuit design environment, max+ plus II RTL-level circuit, digital circuit design using vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:566987
    • 提供者:东方不败
  1. 4-_add

    0下载:
  2. 4 级流水方式的8 位全加器 vhdl 语音那描述-The level 4 water way QuanJia 8 bits for speech that described VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:254319
    • 提供者:郭少华
  1. 4addr

    0下载:
  2. 用verilog 语言编写的4位全加器,还是入门基础必备.-Verilog language with 4bit full adder, or basic essential.also it s so important to learn verilog!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:954
    • 提供者:xutongbin
  1. 7483and7485

    0下载:
  2. 4位全加器7483和4位比较器7485实现一位8421BCD码全加器-Four full adder 7483, and four comparator 7485 a 8421BCD code full adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:201819
    • 提供者:蔡利波
  1. full_a4

    0下载:
  2. 4位全加器的verilog程序设计-Four full adder verilog programming ...
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4257675
    • 提供者:忘忧草
  1. 4weiquanjiaqi

    0下载:
  2. 4位全加器由3个模块构成。首先,通过实例引用基本门级元件xor、and定义底层的半加器模块halfadder,接着实例引用两个半加器模块halfadder和一个基本或门元件or组合成为全加器模块fulladder,最后实例引用4个1位的全加器模块fulladder构成4位全加器的顶层模块-4 full adder by the three modules. First, the basic gate-level component instance references xor, and def
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:402806
    • 提供者:wancaihong
  1. adder4

    0下载:
  2. 使用层次化建模的方法再quartus下实现的4位全加器。包括半加器,一位全加器和四位全加器,并进行了仿真。-This file is used for learners to learn verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:294601
    • 提供者:xiaofengyu
  1. adder5

    0下载:
  2. 5位全加器,与4位全加器相比较对新手来说更能深刻的理解Verilog语言。-5 bit full adder, compared with a 4 bit full adder for the novice can be more profound understanding of Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2810137
    • 提供者:Tomy
  1. 21

    0下载:
  2. 基于DE1的4位全加器(可视化),通过数码管显示,开关输入实现。-4 bit full adder based on DE1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:219145
    • 提供者:陈云成
  1. exp01_adc32

    0下载:
  2. 通过4位加法器实现32位加法器,使用串行进位的方式首先设计一个8位全加器,然后在8位全加器的基础上设计实现32位全加器(A 32 bit adder is implemented through a 4 bit adder. First, a 8 bit full adder is designed using serial carry. Then, a 32 bit full adder is designed on the basis of 8 bit full adder.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-29
    • 文件大小:542720
    • 提供者:Dramazoey_wong
« 1 23 4 »
搜珍网 www.dssz.com