CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8 乘法器

搜索资源列表

  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. verlog_basic

    0下载:
  2. 用verlog语言编的一些基础实验,适合于FPGA/CPLD的初学者。内容包括8位优先编码器,乘法器,除法器,多路选择器,二进制转BCD码,加法器,减法器等等。-verlog used some language addendum to the basic experiment, which is suitable for FPGA / CPLD beginners. Including eight priority encoder, multipliers, dividers, multi-p
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:1004071
    • 提供者:leolili
  1. 8BIT

    0下载:
  2. 基于FPGA的8位乘法器代码,可以进行四象限乘法
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:585038
    • 提供者:gexiaowei
  1. mul8

    0下载:
  2. ieee公布的标准8位浮点乘法器,可综合。采用标准算法。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2167
    • 提供者:frankey
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. risc_cpu

    0下载:
  2. 8位risc cpu的编写,使用quartus软件对其进行写入,里面内置乘法器、除法器等模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:814814
    • 提供者:瑞翔
  1. multi8x8

    0下载:
  2. 实现了VHDL乘法器,8位乘法操作的完成
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2879
    • 提供者:zxzx
  1. jfqs_multiplier

    0下载:
  2. 使用加法器树乘法器实现8位乘法运算,VHDL语言予以实现
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:359863
    • 提供者:zxzx
  1. mult

    0下载:
  2. 移位乘法器的输入为两个4位操作数a和b,启动乘法器由stb控制,clk信号提供系统定时。乘法器的结果为8位信号result,乘法结束后置信号done为1. 乘法算法采用原码移位乘法,即对两个操作数进行逐位的移位相加,迭代4次后输出结果。具体算法: 1. 被乘数和乘数的高位补0,扩展成8位。 2. 乘法依次向右移位,并检查其最低位,如果为1,则将被乘数和部分和相加,然后将被乘数向左移位;如果为0,则仅仅将被乘数向左移位。移位时,被乘数的低端和乘数的高端均移入0.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:130213
    • 提供者:良芯
  1. 8位乘法器VHDL

    2下载:
  2. 所属分类:文档资料

    • 发布日期:2009-08-01
    • 文件大小:351778
    • 提供者:hvming
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. Chapter10

    1下载:
  2. 第十章的代码。 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-15
    • 文件大小:6871574
    • 提供者:xiao
  1. mult_piped_8x8

    0下载:
  2. 8位乘8位的流水线乘法器,采用Verilog hdl编写-8 x 8-bit pipelined multiplier, used to prepare Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897
    • 提供者:江浩
  1. mult8_csdn

    0下载:
  2. 用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考-Verilog language with 8-bit multiplier, completed the 8-bit binary integer multiplication, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:632461
    • 提供者:qingchang
  1. mul

    0下载:
  2. 八位乘法器的VHDL程序,按照乘法的运算规则利用分支语句判断所有情况,最后累加求的结果-8 multiplier VHDL programs, in accordance with rules of multiplication operations to determine all the circumstances of the use of a branch statement, the final cumulative result of demand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1049
    • 提供者:sujunlong
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. EDA

    1下载:
  2. 移位相加8位硬件乘法器电路设计,该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。-Add 8-bit hardware multiplier shift circuit design, the multiplier is composed of 8-bit adder to temporal order, 8-bit multiplier design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:34574
    • 提供者:zhangyue
  1. 100vhdl_example

    0下载:
  2. vhdl语言的100个例子 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 -VHDL language, VHDL language 100 examples of 100 cases of the first one cases of the control p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:223595
    • 提供者:光明顶
  1. doc

    0下载:
  2. VHDL:用状态机的方法实现一个8位乘法器-VHDL: state machine method used to achieve an 8-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2424
    • 提供者:my name
« 1 2 34 5 6 »
搜珍网 www.dssz.com