CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - BCD码

搜索资源列表

  1. VHDL_book1

    0下载:
  2. gate:基本逻辑门的实现和验证 mux4_1_gate:多路复用器的门级实现和验证 mux4_1_behav:多路复用器的行为级实现和验证 seg7_gate:7段数码管逻辑门实现和验证 seg7_behav:7段数码管case语句描述和验证 mux7seg:采用按键复用7段数码管的实现和验证 clkseg7:采用时钟自动扫描复用7段数码管的实现和验证 comp4_gate:4位比较器结构化实现和验证 comp8_behav:8位比较器行为实现
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:7627776
    • 提供者:贾诩
  1. 20个8位无符号数求和

    0下载:
  2. 20个8位无符号数求和 两个6位BCD码完成减法运算
  3. 所属分类:文档资料

  1. add

    0下载:
  2. 20个8位无符号二进制数求和 两个6位的BCD码相减-20 8-bit unsigned binary number summing two 6-digit BCD subtraction
  3. 所属分类:assembly language

    • 发布日期:2017-11-15
    • 文件大小:178750
    • 提供者:木子
  1. BCD_ALU

    1下载:
  2. bcd码的ALU单元,包含全加、全减、乘法、除法器-bcd code ALU unit, including All-Canadian, all subtraction, multiplication, division, unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:52081
    • 提供者:georgeniu
  1. 430-SHILICX

    0下载:
  2. 目录:1. 堆栈时钟初始化 2. RAM自测子程序 3. 二进制转化为BCD码(二进制16位(65536)放R15,结果放R4,R5) 4. BCD码转化为二进制(BCD码放R4,二进制放R5) R5=XO+10*(X1+10*(X2+10*X3)) 5. BCD码转化为二进制(BCD码放R4,二进制放R5)R5=XO+10*X1+100*X2+1000*X3 6. 冒泡排序法(适合20个数字以下) 7. X=a^+b^(a为2个字节,b为2个字节,X为3个字
  3. 所属分类:SCM

    • 发布日期:2017-12-06
    • 文件大小:3530
    • 提供者:fzgh
  1. MSP430--16X16

    0下载:
  2. 目录:1. 堆栈时钟初始化 2. RAM自测子程序 3. 二进制转化为BCD码(二进制16位(65536)放R15,结果放R4,R5) 4. BCD码转化为二进制(BCD码放R4,二进制放R5) R5=XO+10*(X1+10*(X2+10*X3)) 5. BCD码转化为二进制(BCD码放R4,二进制放R5)R5=XO+10*X1+100*X2+1000*X3 6. 冒泡排序法(适合20个数字以下) 7. X=a^+b^(a为2个字节,b为2个字节,X为3个字
  3. 所属分类:SCM

    • 发布日期:2017-11-18
    • 文件大小:538
    • 提供者:fzgh
  1. CAL

    0下载:
  2. 基于BCD码的十进制ALU设计,可实现加减乘除的功能-BCD to decimal ALU based design can achieve the arithmetic function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:16868
    • 提供者:任毅
  1. wenshidu

    0下载:
  2. 温湿度传感器DH11+程序(以SF板为例)[包含单字节二进制转换BCD码程序]-Temperature and humidity sensor DH11+ program (using the SF board, for example) [containing single-byte BCD code binary conversion process]
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1067645
    • 提供者:zhiyun
  1. VHDL

    0下载:
  2. VHDL小程序,其中包含了bcd码转换成格雷码、寄存器的简单设计(并入串出移位寄存器、串入串出移位寄存器)以及脉冲发生器的VHDL实现。适合于基础的VHDL入门。-VHDL small program, which includes a bcd code into Gray code, register for a simple design (String into a shift register, the string into the string out of the shift re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:304120
    • 提供者:鸿雨
  1. 16b_bcd20

    0下载:
  2. 十六位的二进制转为二十位的BCD码,传给大家供大家分享-Sixteen twenty binary into BCD code, passed to everyone for sharing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:685
    • 提供者:魏伟东
  1. shijinzhiBCD

    0下载:
  2. 十进制-BCD码转换的代码在内,完整希望大家能用上-variable reg : integer range 0 to 80000 variable d1,d2,d3,d4 : std_logic_vector(3 downto 0) begin if clk100 event and clk100= 1 then case current_state1 is when st0=>
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-25
    • 文件大小:84800
    • 提供者:追尾事故
  1. lqz2

    0下载:
  2. 这个程序是4位BCD码到二进制码的转换器-4 BCD code to binary code converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6882196
    • 提供者:李求知
  1. shijinzhiBCD

    0下载:
  2. 十进制-BCD码转换的代码在内,完整希望大家能用上-variable reg : integer range 0 to 80000 variable d1,d2,d3,d4 : std_logic_vector(3 downto 0) begin if clk100 event and clk100= 1 then case current_state1 is when st0=>
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-25
    • 文件大小:84847
    • 提供者:tbelieve
  1. Train_8

    0下载:
  2. 数据段中binb存放一个16位二进制无符号数,将其化成压缩BCD码,存于bcdu单元中-in data segment, binary unsigned data, convert the data in to BCD formate
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:679
    • 提供者:李卓函
  1. bit7_Binary_to_BCD_LED

    1下载:
  2. 二进制转十进制BCD码 Verilog语言 quartus-Binary to decimal BCD code Verilog language quartusII
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:295999
    • 提供者:伍明煜
  1. VHDL_keyboard-scan

    1下载:
  2. 实验内容:设计一个BCD码到LED的七段译码器 DICEDIS,非BCD值时仅G段亮(输出为一。然后下载验证键盘显示实验。接着改写程序,将1-6的数据固定地显示在1-6位数码管上。进而将F键功能改为换向键,即可以控制数字滚动显示的方向。 -Experiment: Design a BCD code to the LED seven segment decoder DICEDIS, non-BCD value G segment only bright (output is a. Then d
  3. 所属分类:software engineering

    • 发布日期:2017-03-23
    • 文件大小:445071
    • 提供者:陈芳
  1. hextobcd

    0下载:
  2. BCD码与16进制转化的汇编程序,比较不错。-BCD with 16 band into the compilation process, quite good.
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-11
    • 文件大小:1034
    • 提供者:newgove
  1. 14program_pcb

    1下载:
  2. 1.C8051F320做的测试USB速度可到240KBps. 包含单片机源码,驱动和上位机程序2.MCUc8051f040用自带的IIC控制器读写实时钟芯片PCF8563,完成BCD码到十进制码转换3.PCF8563的驱动程序,通过模拟IIC总线对PCF8563进行访问,有完整的读写报警,定时中断,最后把时间显示在LED上4.USB CDC using C8051F320340, virtual COM port thru usb connection5.本库函数实现了C8051F020 SMB
  3. 所属分类:SCM

    • 发布日期:2017-05-22
    • 文件大小:6375051
    • 提供者:sevenjul
  1. bcd_2d

    0下载:
  2. 从屏幕获得要转换的bcd码,并将它转换成十进制数,将结果显示在屏幕上。-Obtained from the screen to convert bcd code and convert it to a decimal number, the results are displayed on the screen.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-24
    • 文件大小:340002
    • 提供者:wangmingsheng
  1. 参数设置实验

    0下载:
  2. 用插针将HSI.0\HSI.1\HSI.2分别与K0\K1\K2相连,初始K0K1K2低电平。运行程序后,首先HSI.0输入正脉冲个数为数据递增个数,HSI.1输入正脉冲个数为数据递减个数;观察灯的BCD码模拟显示。HSI.2确定后BCD码亮暗显示3秒后,灯全暗,该数据存在4000H首地址中。
  3. 所属分类:Linux/Unix编程

« 1 2 ... 15 16 17 18 19 2021 22 23 24 »
搜珍网 www.dssz.com