CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Code Complete 2

搜索资源列表

  1. Graphic_01

    0下载:
  2. 基于Demo01代码,完成以下功能: 1)二维Sierpinski镂垫, 2)三维Sierpinski镂垫, 3)改进算法绘制分形的山, 4)基于海龟图形系统实现二维Sierpinski镂垫。 -Based Demo01 code, complete the following functions: 1) two-dimensional Sierpinski Lou pad, 2) three-dimensional Sierpinski Lou pad, 3) impro
  3. 所属分类:OpenGL program

    • 发布日期:2017-03-29
    • 文件大小:719195
    • 提供者:月平
  1. Corpses-wereV1.2.2

    0下载:
  2. 这个就是在小熊论坛上下的收尸者 v1.1.1 作者不知道是谁,整个框架和某开源的远控非常相似, api基本都是动态调用,函数 过程等。。。。既然不全,开了不知道是什么意思?????? 为什么MINIRat还有人更新?可能是代码比较全一点吧。。。个人觉得。 因为快过年,也没时间继续改了,1.1.9加了文件管理模块,上传和下载还没完成,工作实在很忙了。。。。 请有能力的哥们帮忙完成。感谢中。。。。 去掉了其他没用的模块。什么进程,服务管理,注册表这类东西,有几次能用的
  3. 所属分类:Remote Control

    • 发布日期:2017-03-30
    • 文件大小:737166
    • 提供者:董先生
  1. singlechip

    3下载:
  2. 139个单片机源代码程序和仿真图(DSN),用proteus仿真,学习单片机绝佳的程序。例如:《lcd1602仿真实例》、2io5键盘模拟音量数码管显示、4线步进电机控制、8通道自动温度检测系统仿真(含原程序)、32X16LED汉字点阵显示屏(atmega16)、32x16汉字、DS18B20仿真实例、PWM电机正反转、PWM调温、SPI演示文件两个595对接、ULN2803、播放音乐、代码大全中文版[1]、单片机控制的电动自行车驱动系统、单片机实验29个、俄罗斯方块、刚做好的十个字的led屏模
  3. 所属分类:SCM

    • 发布日期:2015-04-02
    • 文件大小:21815243
    • 提供者:tong
  1. MPEG-2

    0下载:
  2. MPEG2编码器的完整源代码,是精通C++音视频编解码中的算法-MPEG2 encoder the complete source code, is proficient in C++ in the audio and video codec algorithm
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2017-03-31
    • 文件大小:467092
    • 提供者:
  1. linux-2.5.10

    0下载:
  2. 是关于linux2.5.1的完全源码- Is about the linux2.5.1 complete source code
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-11-10
    • 文件大小:38112995
    • 提供者:许色
  1. www.226.cc

    0下载:
  2. 网址导航系统,asp源码,access数据库,后台完整-Web site navigation system, asp code, access database, a complete background
  3. 所属分类:ISAPI-IE

    • 发布日期:2017-05-20
    • 文件大小:6040364
    • 提供者:何信
  1. TYearPlannerv.2.32

    0下载:
  2. TYearPlanner控件有如下功能: 单独的日期有自己的提示与自定的颜色 可以选择多个单元 完整的原代码,可以修改 支持弹出式菜单 工作平台:Windows 95 / NT / 2000 体重轻(Delphi 5.0中编译仅36KB) -TYearPlanner control has the following features: separate dates have their own tips and custom colors can cho
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-03-30
    • 文件大小:69351
    • 提供者:付西
  1. code

    1下载:
  2. These files contain all of the code listings in Java 2: The Complete Reference The source code is organized into files by chapter. Within each chapter file, the listings are stored in the same order as they appear in the book. Simply
  3. 所属分类:Jsp/Servlet

    • 发布日期:2017-03-30
    • 文件大小:94234
    • 提供者:yangwei
  1. Srs

    0下载:
  2. 用最近的dojo1.2.1制作前端的ajax购书网站,分注册用户购物和非注册用户购物两部分(其实非注册用户只是个噱头),一个页面完成所有必要功能,页面颜色有点难看,管理员部分没有做。后端为servlet+ms sqlserver2k,代码编写所用的IDE为wsad5.1,服务器使用的是wsad自带的,是本人这学期的j2ee其中项目,-Dojo1.2.1 with the latest front-end production of ajax book web site, points to re
  3. 所属分类:Ajax

    • 发布日期:2017-05-13
    • 文件大小:3200825
    • 提供者:Kyd Hong
  1. chuankoutongxin

    0下载:
  2. 串口通信的概念非常简单,串口按位(bit)发送和接收字节。尽管比按字节(byte)的并行通信慢,但是串口可以在使用一根线发送数据的同时用另一根线接收数据。它很简单并且能够实现远距离通信。比如IEEE488定义并行通行状态时,规定设备线总常不得超过20米,并且任意两个设备间的长度不得超过2米;而对于串口而言,长度可达1200米。典型地,串口用于ASCII码字符的传输。通信使用3根线完成:(1)地线,(2)发送,(3)接收。由于串口通信是异步的,端口能够在一根线上发送数据同时在另一根线上接收数据。其
  3. 所属分类:Com Port

    • 发布日期:2017-04-01
    • 文件大小:1086
    • 提供者:zhendongzhao
  1. DLBT_SDK_3.2

    0下载:
  2. 完整的BT程序开发包,可以一天开发一个功能完善齐备的BT程序,内有示例全部源代码-BT complete development package, you can develop a fully functional BT program quickly, there are all the source code of sample exe
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-10
    • 文件大小:1839026
    • 提供者:张鹏
  1. sx3.2

    0下载:
  2. 上兴远程控制3.2源代码目前最完善的远程控制软件原代码-Remote Control 3.2 on hing the most complete source code of the remote control software source code
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-05-24
    • 文件大小:4140241
    • 提供者:andy
  1. S3C2410_BIOS

    0下载:
  2. s3c2410的BIOS源代码(ads1.2工程文件),包含16个完整的C源代码,完成硬件的初始化,在自己设计的基于S3C2410的平台上测试通过。- BIOS source code based on s3c2410 (ads1.2 project file), contains 16 full C source code, complete the initialization of the hardware, in my own S3C2410-based test platform.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:416674
    • 提供者:chenghanjie
  1. ApacheMina

    0下载:
  2. Apache MINA 入门完整版是以pdf格式显示源代码-Apache MINA is a pdf version of entry-complete source code format
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:200562
    • 提供者:老成
  1. 2

    1下载:
  2. 基于Matlab的伪码调相探测器系统仿真,毕业设计完整稿,适合做毕设的同学参考-Matlab code based on the pseudo-phase modulation detector system simulation, design of a complete draft graduation for students who do complete reference set
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:841744
    • 提供者:王男
  1. XR16M2550

    0下载:
  2. XR16M2550是一款高性能,具有16字节收发FIFO的异步全双工UART芯片,具有两路完全独立的UART通道。本资料包含完整的测试代码(ADS 1.2环境),中文应用文档,电路原理图,PPT讲解稿等。-XR16M2550 is a high-performance, with 16-byte receive FIFO, asynchronous full-duplex UART chip, with two-way completely independent UART channels.
  3. 所属分类:uCOS

    • 发布日期:2017-05-28
    • 文件大小:10883554
    • 提供者:祝剑波
  1. Lucene.Net-2.0-002-27Nov06-src

    0下载:
  2. Lucene README file $Id: README.txt 164119 2005-04-21 20:47:18Z dnaber $ INTRODUCTION Lucene is a Java full-text search engine. Lucene is not a complete application, but rather a code library and API that can easily be used to add s
  3. 所属分类:File Operate

    • 发布日期:2017-03-23
    • 文件大小:948565
    • 提供者:qt033
  1. conv_vhdl

    0下载:
  2. 用Verilog实现卷积码(2,1,2)的编码器,采用状态机来完成在modelsim下的仿真-Verilog implementation using convolution code (2,1,2) encoder, using a state machine to complete the modelsim simulation under the
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:568
    • 提供者:吴雪
  1. 2

    0下载:
  2. X,Y 为字节类型数,求((X+Y)*8-(X-Y)*2)/16 写出完整的数据段和代码段,不用乘除指令 -X, Y the number of bytes type, seek ((X+ Y)* 8-( XY)* 2)/16 write complete data segment and code segment, do not multiply and divide instructions
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:29184
    • 提供者:施洋葱
  1. Pascal

    0下载:
  2. 1、pascal词法分析器实验报告 2、包括产生式规则,源代码,完整的实验报告和简单的例子 3、能够区分出各各单词并能判断它属于保留字、标识符、常数、运算符、分隔符五大类中的哪一类-1, pascal experiment reports two lexical analyzer, including production rules, source code, complete the report and a simple example experiment 3, to disti
  3. 所属分类:Compiler program

    • 发布日期:2017-03-28
    • 文件大小:92394
    • 提供者:yangdingda
« 1 2 34 5 6 7 8 9 10 ... 30 »
搜珍网 www.dssz.com