CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Color verilog

搜索资源列表

  1. 43Panel_Logic_Driver

    0下载:
  2. 4.3寸彩屏的Verilog 逻辑驱动程序-4.3-inch color screen Verilog logic driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:10897408
    • 提供者:徐宏
  1. VGA_7123

    1下载:
  2. verilog adv7123 VGA 彩条测试程序-the verilog adv7123 VGA color bar test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:2843
    • 提供者:鞠明泽
  1. VGA_color_block

    0下载:
  2. 在FPGA上采用Verilog语言,通过VGA接口实现彩色条显示-On FPGA using Verilog language, color bar display via VGA interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:15277
    • 提供者:zhangqiang
  1. text

    0下载:
  2. 用Verilog写的简单的屏幕控制,在屏幕上有四个帧,方块在呈现抛物线状运动的同时改变颜色。-Using Verilog to write simple on-screen controls on the screen there are four frames, boxes rendered parabolic movement while changing color.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:1465
    • 提供者:lid chan
  1. rgb2hsv

    1下载:
  2. rgb颜色空间向hsv颜色的空间转换算法,Verilog HDL语言-conversion algorithm of rgb color space to the hsv color space , Verilog HDL language
  3. 所属分类:Special Effects

    • 发布日期:2016-01-25
    • 文件大小:10240
    • 提供者:孙璐
  1. rgb2ycrcb

    0下载:
  2. rgb颜色空间向YCrCb颜色空间转换算法,Verilog HDL语言-conversion algorithm of rgb color space to the YCrCb color space , Verilog HDL language
  3. 所属分类:Special Effects

    • 发布日期:2017-11-07
    • 文件大小:1775
    • 提供者:孙璐
  1. rgb2yuv

    0下载:
  2. rgb颜色空间向YUV颜色空间转换算法,Verilog HDL语言-conversion algorithm of rgb color space to YUV color space , Verilog HDL language
  3. 所属分类:Special Effects

    • 发布日期:2017-11-13
    • 文件大小:1740
    • 提供者:孙璐
  1. DE2_70_VGA_only

    0下载:
  2. de2-70 开发板上的bga驱动,用VERILOG写得,希望对大家有用,这个随意显示几个彩条。-de2-70 development board bga driven, with VERILOG written, we want to be useful, this random display several color bars.
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-08
    • 文件大小:641606
    • 提供者:zhangqiying
  1. VGA800

    0下载:
  2. 本代码用verilog语言,配合quartus里自带的fifo来简单实现vga显示屏的操作,重点在于弄清楚时序。代码中被注释的部分也可以用于彩色条纹的测试。-The code to use verilog language, with quartus in fifo comes to simply achieve vga screen operation, with emphasis on clear timing. The code portion of the notes can be te
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-24
    • 文件大小:7909376
    • 提供者:普尔
  1. color_space-converters-RGByuv

    0下载:
  2. 本文件包含了颜色空间转换的程序,用VERILOG编写的,经过仿真检验,确实是可行的一个程序-This document contains a color space conversion process, using VERILOG prepared, through simulation testing, a procedure is indeed feasible
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8632
    • 提供者:车龙
  1. 03_led_water

    0下载:
  2. 用Verilog HDL语言编写流水灯八种颜色依次显示-Use Verilog HDL language running water light eight kinds of color display in turn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:341042
    • 提供者:张文瑞
  1. VGA256

    0下载:
  2. 用verilog写的VGA256色显示设计,里面有详细的注释!-Using verilog write VGA256 color display design, which has detailed notes!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:369663
    • 提供者:fyf
  1. TFT

    0下载:
  2. verilog 控制24寸TFT真彩屏驱动,-verilog 24-inch TFT true color screen control drive
  3. 所属分类:Driver develop

    • 发布日期:2017-04-08
    • 文件大小:2727
    • 提供者:YSH
  1. vga_stripes_top

    0下载:
  2. VGA彩条显示,分辨率800*600,使用Verilog显示间隔可设置的红绿条纹,使用工具为xlinx ise.-VGA color display with a resolution of 800* 600, the use of red and green stripes Verilog display interval can be set using tools xlinx ise.
  3. 所属分类:Picture Viewer

    • 发布日期:2017-05-02
    • 文件大小:748764
    • 提供者:伍卅
  1. lcd_driver

    0下载:
  2. LCD驱动,硬件描述语言Verilog编写,色深16位,分辨率为800*480-LCD driver Verilog hardware descr iption language written in 16-bit color depth, resolution of 800* 480
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1161
    • 提供者:王先生
  1. VIP_RGB_TO_YCbCr

    0下载:
  2. 色彩空间转 verilog 实现RGB_TO_YCbCr-Color Space turn verilog realization RGB_TO_YCbCr
  3. 所属分类:Special Effects

    • 发布日期:2017-04-12
    • 文件大小:1126
    • 提供者:jeffer
  1. vga

    0下载:
  2. 一个VGA显示的verilog程序,可以在VGA屏幕上显示图片、颜色等,简单修改子模块代码可以显示不同图像-A VGA display verilog program that can display images on a VGA color screen, easy to modify sub-module code can display different images
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-29
    • 文件大小:10224
    • 提供者:daidai
  1. RTL

    0下载:
  2. HMI产品上使用的将黑白屏提升分辨率变为彩色屏的verilog RTL code-verilog RTL code for convert Black/White HMI to high resolution color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:8214
    • 提供者:huanqing
  1. vga_verilog

    1下载:
  2. 在DE1-SOC上运行的verilog HDL代码,可以驱动VGA显示彩条。quartus II 14.0可以直接使用-Verilog HDL code running on DE1-SOC, can drive VGA display color bars. quartus II 14.0 can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:13911040
    • 提供者:xuedong wang
  1. VGA

    0下载:
  2. FPGA控制VGA显示Verilog程序代码,VGA显示8钟色彩条和网络方格-FPGA Verilog code control VGA display, VGA display 8 clock color bar and network grid
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3334995
    • 提供者:刘先生
« 1 2 3 45 »
搜珍网 www.dssz.com