CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - END

搜索资源列表

  1. 语音台

    0下载:
  2. 因特殊的业务需要,某些电话交流需要在第三方的监视下进行,假定某电话交流由甲乙丙三方发起,丙为监听控制方,当甲开始说话时,丙可以不允许乙听,并且开始录音,当甲说完时,丙确定是否可以让乙听,如可以。给乙放录音,当乙说话时,重复以上操作。-because of special operational needs, some telephone exchanges in the surveillance of third parties under the assumption that a telep
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:243880
    • 提供者:吴国强
  1. 三层开发的美容美发系统!

    1下载:
  2. 三层作品,写一个关于美容美发的。本程序在Delphi 7.0 + SQL2000 下编过,运行时需要先运行中间层。 如果ADO配置不当,它会自己弹出连接配置,正确设置后即可运行, 运行完中间层后,才可以正确运行客户层。否则,客户层会弹出警告 提示框。 -three entries, write a beauty salon on the. The procedures in Delphi 7.0 SQL2000 provided under that operation need
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2293001
    • 提供者:与李洪
  1. Linux基础教程

    0下载:
  2. 本章讲解如何启动Red Hat Linux installation安装过程. 包括以下内容: 熟悉安装程序的用户界面. 启动安装程序. 选择安装方式. 在结束本章的时候, 安装程序应该已经在您的系统中运行, 并且已经选择了适当的安装方式. -chapter on how to start installation of Red Hat Linux installation. Cont
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:78760
    • 提供者:宙斯盾
  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. iperf-2.0.2.tar

    0下载:
  2. 用来测试端到端的网络带宽,即可用tcp测,也可用udp测,非常好-used to test end-to-end network bandwidth can be measured using tcp, udp available measurement, and very good
  3. 所属分类:Internet/网络编程

    • 发布日期:2008-10-13
    • 文件大小:233595
    • 提供者:ww
  1. 颜色渐变文本

    0下载:
  2. FORM中设置初始颜色和结束颜色,并设置三种渐变方向,输入文本,点击命令按钮,出现渐变效果.-FORM set the end of the initial color and color, and a three graded direction, enter text, click order button, there fading effect.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:11548
    • 提供者:cl
  1. download11_80[1]

    0下载:
  2. 一昊輸入法》的前身即是「錢碼」輸入法(發明人錢任舉教授)。錢碼第一鍵打漢字的聲母,無聲母的取它的首字母;第二鍵打漢字的首偏旁,無偏旁的打首筆劃;第三鍵打漢字的尾偏旁,無偏旁的打尾筆劃。三鍵一字,道理特別簡單,一聽就懂,易學性強。錢碼僅優選了50個基本字根,極其有規律的排在鍵位上,特別好記。符合國家語委的筆順規笵,字根99%都是《新華字典》上的部首。被中國教育部信息管理中心列為遠程教育基本課件。最新版本是「一昊輸入法智能版V6.0」。-an input method "that is t
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:26577
    • 提供者:1
  1. phoenix1.3.tar

    0下载:
  2. Phoenix is a speaker dependent (user trained) connected word recognition system. Phoenix is designed as a real-time recognition system in that recogniton takes place in parallel to utterance input and partial results are available before the en
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:86242
    • 提供者:站长
  1. 2005621114504

    0下载:
  2. 本文详细讨论了添加到 RTC 的媒体改进特性,这些改进使得最终用户和开发者都能有更愉快的体验。当应用程序被构建在 RTC 客户端 API 之上,最终用户能获得丰富的音视频体验,而开发者可以使程序得到一系列免费的改进。使用这些 API 构建的应用程序还能够访问 RTC 提供的即时消息和出席功能。有关这些API的信息,可在 Windows Platform SDK中获得。 本文讨论了以下的特性和改进之处: 音频视频编解码器的可获得性 回波抵消(AEC) 冗余音频编码
  3. 所属分类:RichEdit

    • 发布日期:2008-10-13
    • 文件大小:5503130
    • 提供者:2
  1. 小波程序

    1下载:
  2. 这是一个关于小波应用工程程序的期末作业,里面包括连续小波变换和离散小波变换,适合初学者使用-This is a project on the application of wavelet end of the operating procedures, including inside the continuous wavelet transform and discrete wavelet transform, for beginners
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:336896
    • 提供者:赵锐
  1. Dotpot Port Scanner 0.92

    0下载:
  2. 字符界面的端口扫描工具,速度挺快,扫描结束后结果将保存在当前目录的DPPS.TXT里。-characters interface port scanning tool, speed very quickly, scanning the end results will be stored in the current directory DPPS.TXT Lane.
  3. 所属分类:网络编程

    • 发布日期:2008-10-13
    • 文件大小:2876
    • 提供者:得可爹
  1. 《Visual Basic案例开发》源代码

    0下载:
  2. 银行客户端程序:客户端、银行端、服务器端、网站-banking client : the client, the banks end server, the website
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1157399
    • 提供者:翁明喆
  1. mouse_ucos

    0下载:
  2. 可以加载到嵌入式系统终端的鼠标驱动程序,实现了鼠标初始化,鼠标数据读写及复位等功能。-can be loaded to end embedded systems mouse drivers, achieved a mouse initialization, reading and writing data on the mouse and reset functions.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:2422
    • 提供者:管红超
  1. Cryptix_src_3-0-3

    0下载:
  2. 1.BOOL OnCommsCreate(CWnd* pParentWnd) 创建串口,本DLL同时创建5个串口。pParentWnd为窗口指针,一般为this。 2. BOOL OnComportOpen() 根据串口设置文件中的设置参数,打开多串口。 3.void OnCommSend(unsigned int uiCom, CString sSendData, unsigned int uiKind) 往指定串口发送数据。其中uiCom为指定的串口,注意串口1的u
  3. 所属分类:菜单

    • 发布日期:2008-10-13
    • 文件大小:921143
    • 提供者:刘伟
  1. v669上网导航

    1下载:
  2. V669上网导航是经过多年来不断的对网址站的研究,结合了HAO123,265,516的本有的特点,本着让网民使用方便,易于查找所需的网站,最终推出了互联网上网址导航的终结版。有效的帮助网民更快,更准确的找到自己想要的网站。-V669 Internet navigation after decades of the right Web site research, a combination of HAO123, some 265,516 of the characteristics of Int
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:7347136
    • 提供者:Niko
  1. weblucene

    1下载:
  2. Lucene Web interface, use XML as a lightweight protocol. developer can convert data source (text, DB, MS Word, PDF... etc) into xml format, indexing with lucene engine, and get full text search result via HTTP, with XML format output, user can easily
  3. 所属分类:搜索引擎

    • 发布日期:2008-10-13
    • 文件大小:2891116
    • 提供者:张和
  1. FFTjava

    0下载:
  2. 一个基于Java编写的FFT程序,期末考试交的,比较好用!-a Java-based FFT prepared by the procedures, the end of exams, more user friendly!
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:2370
    • 提供者:xxjj
  1. 计算器2.0

    0下载:
  2. 能运算的函数: sin,cos,tg,ctg,e^,pow(x,y),cosh,sinh,tgh,log10,ln,sqrt,arcsin,arccos, 运算方式: +,-,*,/,绝对值(“[ ]”),^,!, 输入规则: 用键盘或按钮都可,输入完按回车运算,(光标要在最后) sin(21-32)/(12-43) 4(323-4343) 4*(323-4343) e^2-sin3-3^4,(不要输入pow(3,4)) //有无*都可 2*3^4是(2*3)^4
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:111005
    • 提供者:qwq
  1. 曼彻斯特码

    1下载:
  2. 今天看了一下从fpga上下的曼彻斯特编解码的程序,感觉不是很清楚,仿真了一下,更迷茫了,大家看看为啥这程序要这么编呢? 程序比较长,不过写的应该还是不错的,看了后应该有收获。 总的思路是这样: 1 通过一个高频的时钟检测wrn信号,如果检测到上升沿,则表明开始编码,将输入的8位数据转为串行,并编码,然后输出。 2 定时信号是从高频时钟16分频后得到的,在wrn上升沿后16分频使能,在编码结束后禁止分频输出。 3 no_bits_sent记录串行输出的位数,应该是从0010到1
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:5232
    • 提供者:游畅
  1. IC卡读写器程序(ASM)及其测试程序(PC端)

    2下载:
  2. IC卡读写器程序(ASM)及其测试程序(PC端).zip-IC card read-write procedure (ASM) and its test order (PC end) zip
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:717573
    • 提供者:刘超
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com