CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA串口

搜索资源列表

  1. src

    0下载:
  2. 通用异步串口的FPGA程序,用于实现与PC机通信。-The FPGA code of UART is used to achieve communication with PC.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9434
    • 提供者:zhj
  1. uart

    0下载:
  2. 基于verilog语言,使用FPGA对串口功能进行模拟与实现-Based verilog language, use the serial port function simulation and FPGA implementations
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:7023
    • 提供者:
  1. UartLoop

    0下载:
  2. xilinx FPGA XC6LX9 串口通信程序 返回发送内容-xilinx FPGA XC6LX9 serial communication program returns to send content
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:242310
    • 提供者:书才
  1. CHUANKOUrxtx

    0下载:
  2. Verilog写的串口收发程序 ,基于FPGA的相关测试,亲测没有任何问题。-Verilog write the serial transceiver procedures, FPGA-based correlation test, pro-test without any problems.
  3. 所属分类:Other systems

    • 发布日期:2017-05-21
    • 文件大小:5807442
    • 提供者:陶英健
  1. yudanpianjidechuankoutongxin

    0下载:
  2. 基于fpga的与单片机进行串口通信的vhdl程序-FPGA serial communication with the MCU VHDL program based on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:248436
    • 提供者:罗扬燚
  1. 111

    0下载:
  2. FPGA 实现全双工异步串口(UART),与PC 机通信。1 位起始位;8 位数据位;一个停止位;无校验位;波特率为2400、4800、9600、11520 任选或可变(可用按键控制波特率模式)-FPGA to achieve full-duplex asynchronous serial interface (UART), to communicate with the PC. A start bit 8 data bits one stop bit no parity bit 240
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:33179
    • 提供者:林健
  1. UART_FPGA_VerilogHDL

    0下载:
  2. FPGA RS232串口通信,Verilog HDL代码-FPGA RS232 serial communication, Verilog HDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:259884
    • 提供者:贺炜
  1. 09_uart2

    0下载:
  2. FPGA UART与计算机pc进行串口通信Verilog程序,含有波特率选择,发送器,接收机以及顶层文件,再PC机上通过串口调试助手与FPGA进行通信。-FPGA UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:105605
    • 提供者:陈辉
  1. PS2

    0下载:
  2. FPGA外部PS2j键盘部分代码,FPGA芯片采用xilinx sptan3e 可以实现键盘与串口的通信-The FPGA external PS2j keyboard part of the code, the FPGA chip using xilinx sptan3e can realize the keyboard and a serial port communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1224
    • 提供者:chenkun
  1. source

    0下载:
  2. 使用EP4CE15F17型号的FPGA芯片做的串口协议,使用Verilog HDL完成描述,通过仿真和实验证明功能完好。-FPGA chip using EP4CE15F17 models do serial protocol, using Verilog HDL to complete the descr iption, the simulations and experiments show that function well.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:15742
    • 提供者:胡书立
  1. Serial-comunication

    0下载:
  2. 基于VHDL的FPGA上实现串口通信的功能,本例为串口发送的源程序-Realize the function of serial communication based on VHDL-FPGA, in this case, the serial transmission source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:104528
    • 提供者:beyondall_zhao
  1. SLX_3_REC_TEST

    0下载:
  2. 基于vhdl的FPGA上实现串口通信的功能,本例为串口接受功能-Based on the realization of serial communication function vhdl the FPGA, in this case, the serial acceptance function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4834534
    • 提供者:beyondall_zhao
  1. send-and-receive-program

    0下载:
  2. 基于Fpga和VHDl语言的串口基本发送接收程序,适合初学者学习。-Based on the serial basic sending and receiving program Fpga and VHDl language, suitable for beginners to learn.
  3. 所属分类:Com Port

    • 发布日期:2017-04-13
    • 文件大小:1591
    • 提供者:Bill Smith
  1. HMImaker30

    0下载:
  2. 介绍工业串口液晶显示触摸屏与人机界面组态软件HMImaker的开发指南,工业液晶显示触摸屏是一种包含TFT LCD显示屏、LCD控制器、触摸屏、人机界面组态软件系统和单片机TTL电平串口,RS232,RS485,以太网等通讯接口于一体的嵌入式智能显示终端。工业串口液晶显示触摸屏技术开发是基于嵌入式控制系统采用FPGA纯硬件驱动显示,无任何操作系统,零启动时间,上电即刻运行,速度和可靠性领先于其他方案。系统配套自主研发设计的,具有知识产权保护的人机界面组态软件HMImaker设计界面,可“所见即所
  3. 所属分类:Project Design

    • 发布日期:2017-06-16
    • 文件大小:25435743
    • 提供者:陈秋荣
  1. UART

    0下载:
  2. (1)在FPGA上设计UART接收模块实现从PC接收串口数据(RS232串口通信); (2)在FPGA上设计UART发送模块,把从PC接收的数据的16进制值加1再发送给PC; -(1) Design UART receiver module receives serial data (RS232 serial communication) the PC to the FPGA (2) Design UART transmit module on FPGA, the hexadecim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:577016
    • 提供者:shan
  1. uart_fifo

    0下载:
  2. FPGA与PC的串口通信代码,使用了FIFO作为数据的缓存。-FPGA and PC serial communication code, use the FIFO as cached data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6565107
    • 提供者:shimmy_lee
  1. UART

    0下载:
  2. 用VHDL书写串口通信源码,在fpga上验证过-Serial communication with VHDL source code written in the fpga verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3498
    • 提供者:王三木
  1. FPGAUART

    0下载:
  2. FPGA的串口通信程序,平台为XILINX的SPANTAN-6,压缩包中有具体的说明文档。-The serial communication of FPGA program and Platform for XILINX SPANTAN-6, compressed package with specific documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:374768
    • 提供者:刘冰
  1. UART_FPGA

    0下载:
  2. 此vhdl程序实现了在FPGA上构建UART通信串口。分为两部分,UART的发送端transfer和接收端receiver。需要外部根据需求提供波特率时钟。-This program implements the building vhdl UART serial interface on the FPGA. Divided into two parts, UART transfer sender and receiver receiver. Required to provide the ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4183
    • 提供者:lmy
  1. SPI

    0下载:
  2. SPI通信程序,实现430的单片机和FPGA的串口通信一大难题-SPI communication procedures, microcontroller and FPGA 430 serial communication is a major problem
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:1527
    • 提供者:胡日
« 1 2 ... 23 24 25 26 27 2829 30 31 32 33 34 »
搜珍网 www.dssz.com