CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LCD1602 fpga

搜索资源列表

  1. lcd1602_driver

    0下载:
  2. 基于fpga的verilog程序,lcd1602控制器的总线写法,-lcd_control based on lcd1602
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-09
    • 文件大小:99312
    • 提供者:keke
  1. ps2_lcd

    0下载:
  2. FPGA入门系列实验教程——LCD1602显示屏显示PS2键盘的键值-FPGA Starter series of experiments tutorial- LCD1602 display shows the the PS2 keyboard s key
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:261263
    • 提供者:周舟
  1. 1

    0下载:
  2. FPGA芯片EP2C8T144C8控制LCD1602显示程序-The FPGA chip EP2C8T144C8 control LCD1602 display program
  3. 所属分类:Other systems

    • 发布日期:2017-11-11
    • 文件大小:203346
    • 提供者:fangyangyang
  1. lcd3

    0下载:
  2. FPGA驱动lcd1602代码,使用标准三段式状态机编写-FPGA LCD code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:200993
    • 提供者:fsy
  1. lcd

    0下载:
  2. 基于fpga的lcd1602的verilog程序代码,可实现移动显示数字和英文字母-Verilog fpga lcd1602 the program code, mobile numbers and letters
  3. 所属分类:Other systems

    • 发布日期:2017-11-03
    • 文件大小:13033218
    • 提供者:徐俊龙
  1. Ex11_LCD1602

    0下载:
  2. FPGA LCD1602 VDHL源码-FPGA LCD1602
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:337237
    • 提供者:林冲
  1. lcd

    0下载:
  2. FPGA开发环境 利用verilog驱动LCD1602 用LCD1602显示英文 开发板学习资料 -failed to translate
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:375309
    • 提供者:冉青
  1. 1602Pkeyscan

    0下载:
  2. 基于FPGA的lcd1602以及矩阵按键扫描程序(verilog)-FPGA-based lcd1602 and matrix key scanning program (verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1742185
    • 提供者:文杰
  1. EP2C8-2010_FPGA

    0下载:
  2. EP2C208C8 FPGA开发源代码(芯蓝C8板) turn_on_led 点亮LED sw_led 拨动开关控制LED rider_led 跑马灯 water_led 流水灯 key_led_without_debounce 轻触开关控制LED,无按键去抖 key_led_with_debounce 轻触开关控制LED,有按键去抖 seg7x8_dynamic_disp 七段数码管动态显示 matrixKeyb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3846240
    • 提供者:wqc
  1. A-C8V4

    1下载:
  2. 淘宝畅销FPGA开发板的A-C8V4 电路图及例子 9实验九:利用语言实现3-8译码器 10实验十:利用语言实现按键和数码管显示 11实验十一:利用语言实现乘法器数码管显示 …… 18实验十八:利用语言实现蜂鸣器唱歌 23实验二十三:利用语言实现LCD1602显示 24实验二十四:利用语言实现LCD12864显示汉字 25实验二十五:利用程序实现串口RS232与电脑通信 28实验二十八:利用程序实现VGA显示RGB彩条信号 31实验三十一:利用程序实
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:12894094
    • 提供者:liyang
  1. 23LCD_1602_DISPLAY

    0下载:
  2. 基于altera公司的fpga的lcd1602显示的源码模块。-Source lcd1602 display module based on fpga altera company.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:364734
    • 提供者:赵振超
  1. FPGADE270CACULATOR

    1下载:
  2. 本文介绍了一个简单的计算器的设计,该设计采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在LCD1602上。-This article describes a simple calculator design that uses a field programmable logic device FPGA design and VHDL language based on arithmetic functions, and decimal display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3398656
    • 提供者:南宫崔浩
  1. LCD_clock

    1下载:
  2. FPGA秒表,LCD1602显示,就是简单的有个暂停键,按一下开始再按一下暂停-FPGA stopwatch, LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3911
    • 提供者:jun
  1. Altera_Verilog_lcd1602

    0下载:
  2. FPGA采用Altera_Verilog实现lcd1602显示-FPGA using Altera_Verilog achieve lcd1602 Show
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:399800
    • 提供者:林煌
  1. ps2_lcd16

    0下载:
  2. verilog 编写的ps2键盘接口协议,FPGA接收到断码后确定通码,译码后显示到lcd1602上。说明:只是个按键,没有检测shift按键。只是译码了部分按键。-it is design by verilog language.the function is encode the keyboard and show on lcd. notice:the encode part is only encode one key. and only encode part of the keyboa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:817969
    • 提供者:lee
  1. lcd12864--verilog

    0下载:
  2. 详细介绍了在fpga中使用Verilog语言编写lcd1602的控制-Detailing the use of Verilog language written in fpga control lcd1602
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3993
    • 提供者:王龙
  1. calculator

    3下载:
  2. 基于FPGA DE2开发板的计算器设计。Verilog语言编写。矩阵键盘输入,LCD1602显示。程序包括按键扫描模块、数值处理计算模块和LCD控制写模块等。-Calculator design based on FPGA DE2 development board. language use Verilog. Matrix keyboard input, LCD1602 display. Program includes key scanning module and LCD module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-01
    • 文件大小:3156992
    • 提供者:尹仁超
  1. FPGAshiyanLCD1602xianshi

    0下载:
  2. 在FPGA开发板实现液晶显示器LCD1602上显示字符等-Show in FPGA development board realize LCD1602 LCD display on the characters, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1531383
    • 提供者:周小静
  1. LCD1602_FPGA_VerilogHDL

    0下载:
  2. FPGA LCD1602显示,Verilog HDL代码-FPGA LCD1602 display, Verilog HDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:285820
    • 提供者:贺炜
  1. lcd

    0下载:
  2. fpga开发板实现lcd1602显示屏显示数字时钟。开发板测试通过-FPGA development board to achieve LCD1602 display digital clock. Through the development board test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3573708
    • 提供者:tangfei
« 1 2 3 4 56 »
搜珍网 www.dssz.com