CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PWM 电机

搜索资源列表

  1. pid控制电机

    0下载:
  2. 在电机的运行控制中,通常广泛采用脉冲宽度调制( PWM)技术,具有响应快、精度高、平稳性好等特点。利用大功率晶体管的开关作用, PWM将直流电源调制成具有一定频率的宽度(脉冲占空比α)可调的方波脉冲电压,加在直流电机的电枢上,单片机把检测到的电机转速、转向与设定的转速、转向进行比较,通过数字P ID调节对方波脉宽进行调制,从而改变电枢电压相序及平均值,实现对电机转向、转速的控制。
  3. 所属分类:嵌入式/单片机编程

  1. 五个按键四个数码管输出PWM的程序

    0下载:
  2. 一个控制电机的小项目
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-12-31
    • 文件大小:1462
    • 提供者:zxiawei2008
  1. 利用52单片机和8254产生PWM控制直流电机

    0下载:
  2. 所属分类:其他嵌入式/单片机内容

  1. 直流电机闭环PID反馈调速控制(PWM)

    2下载:
  2. 直流电机闭环PID反馈调速控制(PWM)
  3. 所属分类:软件工程

    • 发布日期:2012-03-17
    • 文件大小:401408
    • 提供者:lbn0212
  1. 小功率直流电机的测速和控制

    0下载:
  2. 本设计采用两片MCU(AT89S52),完成了小型直流电机转速的采集、计算、显示、键盘设定,并将非均匀采样情况下的增量式积分分离PID控制算法应用于直流电机的PWM调速,实现了对电机转速的测量和控制,解决了通常低采样周期时系统的超调以及PID算法的积分饱和问题。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-04-16
    • 文件大小:465920
    • 提供者:qq270902745
  1. C51直流电机PWM测速源程序

    0下载:
  2. C51直流电机PWM测速源程序,带proteus仿真-C51 DC motor PWM speed source, with proteus simulation
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-23
    • 文件大小:77108
    • 提供者:czz
  1. bldc.matlab.rar

    8下载:
  2. 在分析无刷直流电机(BLDC)数学模型的基础上,提出了一种无刷直流电机控制系统仿 真建模的新方法。在Matlab/Simulink环境下,把独立的功能模块和S函数相结合,构建了无刷直流 电机系统的仿真模型。系统采用双闭环控制:速度环采用离散PID控制,根据滞环电流跟踪型PWM 逆变器原理实现电流控制。仿真和试验结果与理论分析一致,验证了该方法的合理性和有效性。 此方法也适用于验证其他控制算法的合理性,为实际电机控制系统的设计和调试提供了新的思路。 ,Based on the mathe
  3. 所属分类:文档资料

    • 发布日期:2014-04-13
    • 文件大小:587445
    • 提供者:zhanghejun
  1. pmsm3-4.rar

    0下载:
  2. 通过F2812产生PWM波形来控制电机的运转.,F2812 generated through the PWM waveform to control the motor running.
  3. 所属分类:DSP program

    • 发布日期:2017-05-17
    • 文件大小:4864014
    • 提供者:黄河
  1. PWM.rar

    0下载:
  2. 单片机输出PWM波形电机驱动 mc338865,PWM control mc33886
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:191127
    • 提供者:chenfox
  1. FPGA_PWM_VHDL.rar

    0下载:
  2. FPGA_EP2C5T144C8电机控制PWM、QUARTUS II 工程文件,非文本文件!可以直接使用!,FPGA_EP2C5T144C8 motor control PWM, QUARTUS II project file, non-text files! Direct access to!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:100591
    • 提供者:wangzhaohui
  1. MOTOR.rar

    0下载:
  2. msp430控制直流电机,L298驱动,具有正反转,pwm调速功能。,msp430 control of DC motor, L298-driven, with positive inversion, pwm speed control function.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:21242
    • 提供者:秦兴
  1. ev_pwmgenerator.rar

    0下载:
  2. 基于DSP2812 PWM的编程 适合电力电子电机控制使用,DSP2812 SRM programming
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:60413
    • 提供者:linchenjie
  1. PWM-SPEED-C-PROJECT-

    1下载:
  2. AT89C51 单片机L298驱动电机,控制2个12V 直流电机。求PWM 调速的C程序,电路图,电机正反转C程序,流程图-AT89C51 microcontroller L298 drive motor, control two 12V DC motors. PWM speed control of C seeking procedures, schematics, motor reversing C program, flow chart
  3. 所属分类:software engineering

    • 发布日期:2015-07-01
    • 文件大小:1024
    • 提供者:王敏
  1. 加速减速的控制51-PWM

    0下载:
  2. C程序 通过PWM对直流电机实现正传反转 加速减速的控制-C program to achieve through the Story of PWM DC motor reversing control acceleration and deceleration
  3. 所属分类:编程文档

    • 发布日期:2017-03-23
    • 文件大小:3333
    • 提供者:andy
  1. PWM--xunji

    0下载:
  2. 用LM3S811写的利用IO口产生PWM信号控制电机,并利用光电传感器采集黑白信息送给IO口,然后控制小车循迹-Written with LM3S811 PWM signal generated using the IO port to control the motor, and the use of photoelectric sensors collect information sent to the IO port black and white, and then control t
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:308869
    • 提供者:lixiangxiang1
  1. bldcthree22

    1下载:
  2. 三相bldc电机的两两导通无斩波时的控制仿真波形-bldc s simulink about two-two state without pwm,just a simple programme
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:4134
    • 提供者:李凤才
  1. JDQW200502014

    1下载:
  2. 叙述了三相交流电机空间矢量脉宽调制( SVPWM)的原理,探讨了采用空间矢量脉宽调制三相桥式电压型逆变器的电压输出能力。介绍了一种基于DSP 生成SVPWM 波形的方法, 给出了采用最新的TMS320F2812 DSP实现SVPWM控制的方案,最后给出了在三相交流电机上的试验结果。-Described the three-phase AC motor space vector pulse width modulation (SVPWM) the principle of the use of s
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:127779
    • 提供者:xiliao
  1. PWM_STC12C5A60S2

    0下载:
  2. 用STC12C5A60S2控制直流电机,PWM控制转速,按键s1、s2控制加速减速 因只控制一路电机所以只用到一路PWM,若用到两路则打开另一路即可 晶振为22.1184MHz,使用其他晶振时把延时函数改一下即可。-DC motor control with STC12C5A60S2, PWM speed control, key s1, s2 control the acceleration and deceleration due to motor all the way to co
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:16033
    • 提供者:韦冬成
  1. PWM-motor

    1下载:
  2. 电机PWM与速度的测试曲线(51单片机+VB上位机),可用来调PID参数-PWM motor speed tests and curve (PC 51 SCM+ VB), can be used to tune PID parameters
  3. 所属分类:DSP program

    • 发布日期:2017-03-24
    • 文件大小:74343
    • 提供者:llx
  1. PWM_moto_ctrl

    0下载:
  2. verilog 代码实现 直流电机PWM控制 内有整个完整工程 和modelsim仿真文件-verilog code for PWM DC motor control to achieve within the whole integrity of engineering and modelsim simulation files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:949304
    • 提供者:文一左
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com