CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PWM 电机

搜索资源列表

  1. 6Steps

    0下载:
  2. 配置TIM1外设来产生六步PWM信号-This example shows how to configure the TIM1 peripheral to generate 6 Steps.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-28
    • 文件大小:9686
    • 提供者:梁凯
  1. MCU_PWM

    0下载:
  2. 本文是介绍用单片机软件实现直流电机PWM调速系统,介绍了直流电机的调速原理及实现方法-This article is an introduction to use single-chip microcomputer software PWM DC motor speed control system, the introduction of the DC motor speed control principle and realization method
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:118490
    • 提供者:黑脸
  1. bldcm

    2下载:
  2. 三相无刷电机的PWM控制,通过改变下桥臂的占空比来改变电机的转速。-PWM three-phase brushless motor control, by changing the duty cycle under the bridge arm to change the motor speed.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-06-02
    • 文件大小:22525
    • 提供者:黄杰
  1. moter

    1下载:
  2. VHDL写的PWM发生器,仿真通过,波形基本完美,可以用于直流电机的控制-PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897211
    • 提供者:dansen
  1. ac-pwm-control

    0下载:
  2. 该程序是TI的电机控制程序1,您可以参考一下!-The program is TI
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:295023
    • 提供者:ruiguoqiang
  1. dcmotor

    0下载:
  2. DSP 产生PWM波控制直流电机,步进电机-DSP generated wave PWM control of DC motor, stepper motor
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:3662
    • 提供者:wangjie
  1. S3C44B0PWM

    0下载:
  2. S3C44BX上用PWM实现步进电机的控制。所用步进电机是4相八拍-S3C44BX on the realization of stepper motor with PWM control. The 4-phase stepper motor is shot eight
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:94573
    • 提供者:111111
  1. systempwm

    0下载:
  2. 直流电机的闭环控制,包括初始化设置定时器,初始化设置设定INT0的工作方式,设置PWM的脉冲宽度和设定方向等-Closed-loop DC motor control, including the initialization settings timer, initialization settings set INT0 work, set PWM pulse width and set the direction
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2638
    • 提供者:小亮
  1. 11H21E2301014337

    0下载:
  2. 电机转速 PWM控制 郁闷啊 课程设计搞不懂啊· 大神帮忙啊-PWM motor speed control depressed do not understand the curriculum design ah ah ah god help
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:34413
    • 提供者:王春阳
  1. 1

    0下载:
  2. PWM电机控制代码,由pwm2,pwm3控制.-PWM motor control code, from pwm2, pwm3 control.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1091
    • 提供者:herman
  1. pwm_motor

    0下载:
  2. DSP中使用PWM模块对电机进行控制,并且使用专家系统标准库来完成代码开发-DSP module using PWM motor control, and the use of expert system standard library to complete code development
  3. 所属分类:DSP program

    • 发布日期:2017-04-07
    • 文件大小:368332
    • 提供者:党晓圆
  1. LPC2103MOTOR

    1下载:
  2. LPC2103两轴步进电机控制程序,带加减速,一路脉冲+方向输出、继电器延时、报警,三菱FX系列通信兼容RS232(9600,1,0.1)-LPC2103 two-axis stepper motor control program, with acceleration and deceleration, all the way pulse+ direction output, time delay relays, alarm, Mitsubishi FX series communicati
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-07
    • 文件大小:160643
    • 提供者:韦编三绝
  1. adc_PE

    0下载:
  2. 为了能够在PWM周期中的适当位置精确地检测到电机电流的大小,在ADC模块中设计了PWM 同步触发功能-PWM in order to be able to cycle the appropriate place to detect accurately the size of the electrical current in the ADC module is designed to trigger synchronous PWM function
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-03
    • 文件大小:699654
    • 提供者:党晓圆
  1. new0809

    0下载:
  2. 本系统设计以MC68S912DG128微控制器为核心,通过多个红外光电传感器检测模型车的运动位置和运动方向,霍尔传感器检测模型车的速度,运用PWM技术调节驱动电机的转速和舵机的方向,同时用PID控制算法,完成对模型车运动速度和运动方向的闭环控制-Designed to MC68S912DG128 the system microcontroller as the core, through a number of infrared photoelectric sensor detection m
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:1530756
    • 提供者:蒋磊
  1. power_pwm

    3下载:
  2. 由PWM控制的异步发电机,Matlab/Simulink建模仿真-By PWM-controlled induction generator, Matlab/Simulink modeling and simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:12423
    • 提供者:刘鹏
  1. ex8_PWM

    0下载:
  2. 程序所在目录:ex_PWM 打开,进行如下操作: 1. Project->Open ,打开该目录中的工程文件。 2. Project->Rebuild ALL,编译链接 3. File->Load Program 4. Debug->Run 可以看到电机开始转动。本例程中PWM的频率是1KHZ ,输出的是两路带死去的PWM波形对。用户只需更改参数XPWM即可改变电机的转速和方向。该参数设置如下: XPWM=2400
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:23870
    • 提供者:To_oo
  1. motor327R

    0下载:
  2. 通过L297、L298来控制两项步进电机的旋转,速度十档,电机旋转27圈就会回头,再转够27圈自停。可设定好档位后再开始,中途可以自由停止或是换向。-Through L297, L298 to control two stepper motor rotation and speed of ten stalls, motor rotation stop twice. Stalls can be set well after the start, or stop half-way free-for-
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:733
    • 提供者:zl
  1. ex8_PWM

    0下载:
  2. DSP中用于实现PWM来控制电机、开关电源等-DSP implementation PWM used to control motors, switching power supply, etc.
  3. 所属分类:DSP program

    • 发布日期:2017-04-24
    • 文件大小:23949
    • 提供者:刘奇
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. Tms320lf2812_pwm

    0下载:
  2. 基于TI的TMS320LF2812 高端专用的电机控制芯片编写的PWM产生程序。对于控制电机的朋友特别有用。该代码已经通过调试。-Based on TI' s high-end dedicated TMS320LF2812 chip motor control PWM generation process of the preparation. Friends for motor control particularly useful. Debug the code has been ad
  3. 所属分类:DSP program

    • 发布日期:2017-04-26
    • 文件大小:369845
    • 提供者:dengzhaoyun
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »
搜珍网 www.dssz.com