CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - QUARTUS i

搜索资源列表

  1. reg_8_io_clrset

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,reg的io口软件-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, the io I reg software
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2495
    • 提供者:秦拣俭
  1. 66_FIR

    0下载:
  2. 这是一个VHDL写的FIR模块,我的编译环境是QuartusII 5.0-This is a VHDL modules written FIR, I compiler environment is Quartus II 5.0
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8452
    • 提供者:佴立峰
  1. jicifenpinqi

    0下载:
  2. 别人编写的奇次分频器,用VHDL写的,我已经在QUARTUS上验证过了-others prepared by the odd dividers, VHDL write, I have QUARTUS tested the
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:28937
    • 提供者:yuxyoo
  1. Quartus_vhdl

    1下载:
  2. 用QUARTUS编译通过的等精度频率计,我错误,但有几个警告(不影响设计)。我的毕业设计啊!!! -QUARTUS used by the compiler, and other precision frequency, I am wrong. But there are several warning (not affect design). I graduated from the design ah! ! !
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44532
    • 提供者:刘刚
  1. flash02

    0下载:
  2. 一个我自己写的FPGA读写FLASH代码,在QUARTUS 下用verilog编写,falsh的型号是k9f5608u0d,经测试可以用。-I wrote a FLASH FPGA to read and write code, written in QUARTUS next with verilog, falsh model is k9f5608u0d, can be tested.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1287619
    • 提供者:郑荣
  1. spi

    1下载:
  2. SPI总线接口的verilog源码,包含仿真模块modelsim 和 quartus 工程。本人测试通过。-SPI bus interface in verilog source code, including the simulation module modelsim and quartus project. I test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:291062
    • 提供者:阿虎
  1. heartbeat

    0下载:
  2. 用VHDL编译的源代码,模拟心脏跳动,解压后直接用Quartus打开project即可,不好意思刚才第一个那个模拟心脏跳动(heartbeat)的源程序发错了,请删除,-Compiled with VHDL source code to simulate the beating heart, after extracting the direct use of Quartus can open the project, I am sorry but the first one that simu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:688569
    • 提供者:xie
  1. yuelao

    0下载:
  2. 在QUARTUS II环境下开发的VHDL代码,实现刘德华的歌曲“月老”,本人亲自验证过。-QUARTUS II environment in the development of VHDL code, the realization of Andy Lau s song 月老 , I personally verified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3806
    • 提供者:sq
  1. ledFPGA

    0下载:
  2. 这是lcd的quartus程序控制电路板的流水灯程序!希望对大家有参考的作用!-This is the lcd circuit board quartus flow control lamp procedures! I hope you have a reference to the role!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:244301
    • 提供者:michael
  1. signal_tap

    0下载:
  2. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:342615
    • 提供者:钟桂东
  1. calculator

    0下载:
  2. 课设一个,又臭又长,是一个用verilog编写的计算器,对应革新科技的某个sopc开发平台,键盘会扫描,七段二极管会译码且是并行输出,上传的是整个工程,在该开发平台上基本正常,主程序段编写的较为幼稚,希望大家多多扔玉。注:主程序段预计做八位计算器,后来因为实验平台只有六个数码管无奈之下后两位没接,主程序中的ac有问题,在开发平台上没效果,压缩包里的图是主程序在quartus下的仿真图,开发环境是quartus,不知应选哪项。最后:初次上传欢迎指正 -Set up a class, but als
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10809099
    • 提供者:raven
  1. DHT22_v1.1

    3下载:
  2. 我以前曾发过V1.0版的,这是此版的修正版v1.1,修正了以前版本中的一个错误,即只能读一个数据后就再也读不出温度数据的错误。 这个是用Quartus II软件写的Verilog HDL语言写的与温湿度传感器DHT2x通信的代码. 里面有详细的注解. 主要用于DHT2x单线总线通信转换为8位并行总线通信,应用于具有外部8位总线访问功能的单片机直接读取温湿度数据. 此程序在EPM7128SLC-10中成功测试. -I' ve once spoke V1.0 version, whic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:1094781
    • 提供者:yuantielei
  1. E-Play-SOPC

    0下载:
  2. 1、软件使用 1)软件安装 2)简单设计的完整过程演示 2、FPGA核心板原理及资源介绍 3、E-PLAY扩展板HD7279占用I/O说明 E-PLAY扩展板LCD 128X32 & KEY 8占用I/O说明 4、Quartus II工程应用模块说明 -1, software 1) Software Installation 2) the integrity of the design process simple demo 2, FPGA core plate
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-23
    • 文件大小:7530173
    • 提供者:funny
  1. CLOCK-ON-ALTERA-DEV-NOARD-RONTEX

    1下载:
  2. 这是我上电子线路设计课程时自己写的数字钟设计的整个工程.网上下载安装quartus II软件后双击clock.sof打开调试.若软件说没有权限,请删除db文件夹后再试. 文件夹中附带我的实验报告,其中详细讲解了我的设计思路\软件架构\可能出现的问题等等. 调试步骤就不讲了,管脚分配请网友自行完成. 开发板 Altera Cyclone II EP2C35F672C6 软件平台 Quartus II 语言 verilogHDL-These are all the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:995738
    • 提供者:needtobestrong
  1. jianfaqi

    0下载:
  2. 8位减法器,我在quartus 9.0版本上运行正常,大家放心下载-8-bit subtractor, I run the normal version of quartus 9.0, we rest assured Download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:665
    • 提供者:
  1. final

    0下载:
  2. This Source is Verilog Coding. Made in Altera Quartus 9.0 Service Pack 3. Important, I know not used board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:132263
    • 提供者:SongJiYoon
  1. lab1

    0下载:
  2. 本实验主要练习使用Quartus II 9.1软件进行简单的FPGA 的I/O口实验,实验使用的是DE2开发板,使用芯片为EP2C35F672C6。本次实验的重点是掌握Quartus II 进行系统设计的流程、方法及调试技巧,并对DE2开发板的各个引脚的含义及使用有所了解。-This experiment and practice using the Quartus II 9.1 software is a simple FPGA' s I/O port experiments using
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:586252
    • 提供者:xjnkasndx
  1. m_sequence

    0下载:
  2. 用verilog语言描述了M序列(伪随机通信)的编码、解码、纠错等功能,本人通过了Quartus II 以及Modelsim的仿真。-Verilog language descr iption of the M sequence (pseudo-random communication) encoding, decoding, error correction, I passed the Quartus II and Modelsim simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5878
    • 提供者:周青晖
  1. QuartusII-about-warning

    0下载:
  2. 主要是介绍quartus编译过程中出现的问题和解决方法,希望对大家有帮助-Introduce the quartus compile process problems and solutions, I hope to you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11678
    • 提供者:dp
  1. Quartus_17.1破解器_Windows_密码12345

    3下载:
  2. quartus 17.1 安装包,我现在用的就是(Quartus 17.1 installation kit, what I am using now is)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-24
    • 文件大小:109568
    • 提供者:梦里千梦
« 1 23 »
搜珍网 www.dssz.com