CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - RTL

搜索资源列表

  1. Actel_DirectCore_CORESPI_4.2.116

    1下载:
  2. Actel DirectCore CORESPI 4.2.116 Verilog and VHDL RTL source files for SPI controller on APB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:740019
    • 提供者:r4m813r
  1. ibm_rtl

    0下载:
  2. The RTL table as presented by the EBDA.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-14
    • 文件大小:3048
    • 提供者:qenglaows
  1. vhdl

    0下载:
  2. 4dsp fmc150 RTL Source
  3. 所属分类:行业应用软件

  1. ps2_soc2

    0下载:
  2. PS2 Control Verilog RTL Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:21056
    • 提供者:richman
  1. DDR2Controller

    0下载:
  2. DDR2 SDRAM Control Verilog RTL Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:312487
    • 提供者:richman
  1. des.tar

    0下载:
  2. DES Encoder and Decoder Verilog RTL Code
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:38374
    • 提供者:richman
  1. digital-IC-low-power-design-overview

    0下载:
  2. 一篇文章,综述数字IC从哪些方面可以降低功耗,包括版图级,rtl级,算法级,系统级等-An article, review digital IC what can reduce power consumption, including the territory level, rtl level, algorithms, system, etc.
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:898396
    • 提供者:yangzhao
  1. HDB3-encoderauncoder

    0下载:
  2. HDB3编码器与解码器,以及RTL图,使用Verilog HDL实现-HDB3 encoder and decoder, and RTL diagram, use Verilog HDL to implement
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:183888
    • 提供者:zhouyu
  1. 8139too

    0下载:
  2. A RealTek RTL-8139 Fast Ethernet driver for Linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-29
    • 文件大小:20741
    • 提供者:xofenzun
  1. qib_6120_regs

    0下载:
  2. This file is mechanically generated RTL. Any hand-edits will be lost!. -This file is mechanically generated RTL. Any hand-edits will be lost!.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:5624
    • 提供者:pshenyin
  1. 1

    0下载:
  2. AHB MASTER vhdl code and rtl schematic. dhasu code he bidu check kl lo bhle hi
  3. 所属分类:Compiler program

    • 发布日期:2017-04-15
    • 文件大小:4698
    • 提供者:ashu
  1. sync_fifo

    0下载:
  2. 同步fifo实现代码,包括的参数:数据宽度、fifo深度、地址宽度;状态信息包括:full, empty。-verilog RTL code which implement a synchronous FIFO function with data width, fifo depth, address pointer width parameterized.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1141
    • 提供者:BaiLi
  1. rtl_wangjiangxing

    0下载:
  2. ecc椭圆算法RTL,verilog经过验证-ecc verilog
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:14997
    • 提供者:zhaop
  1. HASH

    0下载:
  2. hash加速器的verilog实现,也用于fpga或asic-hash verilog rtl
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-03
    • 文件大小:6285
    • 提供者:zhaop
  1. AES

    0下载:
  2. aes源码verilog带有仿真环境,可用于FPGA实现-aes verilog rtl
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-25
    • 文件大小:216419
    • 提供者:zhaop
  1. pka_engine

    0下载:
  2. rsa ecc加速器源码和仿真环境,用于fpga-rsa ecc rtl and sim
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-24
    • 文件大小:7987619
    • 提供者:zhaop
  1. Example-b4-1

    0下载:
  2. 1.定制一个双端口RAM,DualPortRAM 2.在顶层工程中实例化这个RAM 3.实现这个工程,在Quartus II仿真器中做门级仿真 在ModelSim中对这个工程进行RTL级仿真-1. Customize a dual-port RAM, DualPortRAM 2. In the top-level project instantiate RAM 3. To achieve this project, do gate-level simulator in Qua
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:319510
    • 提供者:朱潮勇
  1. APM-flight-control-mode-switch

    0下载:
  2. APM模式切换器功能说明,将该切换器替换原先控上的2段或3段开关,可以方便的为APM的6种飞行模式进行切换。默认设置的6中模式为 简单稳定,定高(AltHold)定点(Loiter)自动(Auto)返航(RTL)稳定(Stabilize) 这6种飞行模式是用的比较多的,可以根据自己需要设置成其他的飞行模式。-APM mode switching function descr iption, the switch to replace two or three segments segme
  3. 所属分类:SCM

    • 发布日期:2017-05-23
    • 文件大小:7591606
    • 提供者:wangpenghui
  1. rtl_viterbi_veeRen

    0下载:
  2. RTL design Viterbi decoder using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5717
    • 提供者:hr
  1. antenna-effect

    0下载:
  2. 硬件电路设计中消除天线效应的电路RTL级Verilog代码-RTL grade of Verilog codes for reducing antenna effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:17215
    • 提供者:曹晨曦
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 »
搜珍网 www.dssz.com