CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. frequency_counter_2(successful)(top-down design).r

    0下载:
  2. 小巧的频率计数器,VHDL源代码和仿真文件具全,直接从管工程文件拷贝过来。绝对可用。-compact frequency counters, VHDL source code and simulation with all documents directly from the control engineering documents copied. Absolutely available.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127107
    • 提供者:wl
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. COUNT_100

    0下载:
  2. 使用Vhdl语言编写的FPGA应用程序,实现的内容是100进制计数器-use Vhdl language FPGA applications, realizing the contents of the 100 NUMBER
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:185818
    • 提供者:丢丢熊
  1. FPGAprogram4

    0下载:
  2. 16位计数器的设计,这里是实现上述功能的VHDL源程序,供大家学习和讨论。 -16 counter design, here is the realization of the above-mentioned functional VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2717
    • 提供者:许嘉
  1. bicount

    0下载:
  2. 完整的双向计数器VHDL 程序 大家参考-integrity of the two-way counter VHDL reference procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1333
    • 提供者:潘科良
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. Quaacounterx

    0下载:
  2. 通过VHDL语言编写的计数器程序,可以在一吗器显示管上分段显示小时,分,秒,并且可以分别清零-VHDL prepared by the Counter procedures, in a yet-tube shown above show hours, and seconds can be reset respectively
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:85065
    • 提供者:Jake
  1. FourBitsCounter

    0下载:
  2. 四进制计数器模块,使用VHDL语言编写,在ISE8.1中经过测试的模型-quaternary counter module, the use of VHDL language, in which ISE8.1 tested model
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:439993
    • 提供者:萧飒
  1. 200652519182195904

    0下载:
  2. 介绍了vhdl语言的知识,包括元件,加法器,计数器等的编程-introduced VHDL language knowledge, including components, Adder, counters and other programming
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:6555
    • 提供者:hcf2005
  1. VHDL_clock

    0下载:
  2. 用VHDL能进行正常的时、分、秒计时功能、分别有6个数码管显示24小时、60分钟、60秒钟的计数器显示。-VHDL can be used for normal hours, minutes and seconds timing were six LED display 24 hours 60 minutes, 60 seconds showed that the counter.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:105273
    • 提供者:lianbin
  1. COUNT_10

    0下载:
  2. VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效,清零端为clrn,进位输出为co。 -VHDL source code. Asynchronous design with a 0-counter function of the metric system. Counter clock clk ascending effective end to reset clrn, rounding output co.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:928
    • 提供者:sky
  1. COUNT_4qiduan

    0下载:
  2. VHDL源代码.设计一个模为4的计数器,并在实验箱上用七段数码管显示结果-VHDL source code. Design a scale of four counters, and the experimental box used in paragraph 107 of Digital Display Results
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:791
    • 提供者:sky
  1. cnt10

    0下载:
  2. 用VHDL语言编的带有异步清零功能的十进制计数器-using VHDL addendum to the asynchronous reset function with the decimal counter
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:30560
    • 提供者:yanyuntao
  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. count16

    0下载:
  2. count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:杨奎元
  1. VHDLexample49

    1下载:
  2. VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44507
    • 提供者:刘一
  1. 53_counter11

    0下载:
  2. 计数器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-counter, using VHDL coding, you may not have much use, but as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1378
    • 提供者:jinlong
  1. vhdl_clock

    0下载:
  2. VHDL实现数字时钟,利用数码管和CPLD 设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。 以上实验的程序都在源代码中有详细的注释-VHDL digital clock, the use of digital control and CPLD design to achieve a number of counter clock, show hours, minutes and seconds. The procedure depends
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:324949
    • 提供者:赵海东
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 26 »
搜珍网 www.dssz.com