CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL CPU

搜索资源列表

  1. cpu

    0下载:
  2. 8位CISC模型计算机设计,包括加减法存储输出的运算-8-bit CISC model of computer design, including the addition and subtraction operations stored output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:584420
    • 提供者:李萌
  1. c16_latest.tar

    0下载:
  2. c16 ucore. this a 16 VHDL cpu core. complete with Assembler and C compiler. All src code included.-c16 ucore. this a 16 VHDL cpu core. complete with Assembler and C compiler. All src code included.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1711576
    • 提供者:vtaranti
  1. CPU-design

    0下载:
  2. 使用VHDL语言开发的CPU硬布线设计,在实验电路可以使用加法,和减法与或等简单操作-CPU using VHDL language development of hard-wired design, the circuit can be used in the experimental addition, and subtraction or other simple operations with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2387
    • 提供者:Han li
  1. POC-Project

    0下载:
  2. 系统总线与打印机之间的借口:并行输出控制器POC的设计。涉及POC与CPU,POC与printer之间的握手操作。-Between the system bus and an excuse for the printer: parallel output controller POC design. Involved in POC and CPU, POC and the printer handshake between the operations.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:640761
    • 提供者:ilmf
  1. CPU

    0下载:
  2. 使用VHDL语言实现了一个两级流水线的CPU,-VHDL language using a two-stage pipeline of the CPU,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2166385
    • 提供者:lishanshan
  1. cpu-design

    0下载:
  2. VHDL设计的一个可综合的精简指令集的CPU,加上外围模块,类似与51单片机,当然还缺少很多功能,只是雏形,供大家交流-VHDL design of an integrated RISC CPU, coupled with external modules, exhausted and 51 single-chip, of course, the lack of many features, but prototype for all to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2879338
    • 提供者:lzy
  1. project3

    0下载:
  2. mips single cycle cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3273561
    • 提供者:tran
  1. POC_all

    0下载:
  2. poc即为cpu与外部设备,比如打印机的接口,用VHDL的编程来实现poc功能的仿真-poc is the cpu with an external device, such as the printer' s interface, programming with VHDL simulation capabilities to achieve poc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:920250
    • 提供者:苏佳佳
  1. parallel-output-controller-(POC)

    0下载:
  2. 并行输出控制器,实现CPU与打印机之间的通信,程序基于VHDL语言,内附完整实验报告与仿真图像-The purpose of this project is to design and simulate a parallel output controller (POC)which acts an interface between system bus and printer. The Altera’s Quartus II EDA tool is recommended and provid
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:75216
    • 提供者:陈鹏
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. zxcpu

    0下载:
  2. 用VHDL语言设计了一个含10条指令的RISC处理器。假定主存可以在一个始终周期内完成依次读写操作且和CPU同步,系统使用一个主存单元。处理器指令字长16位,包含8个通用寄存器,1个16位的指令寄存器和一个16位的程序记数器。处理器的地址总线宽度16位。数据总线宽度16位,取指和数据访问均在一跳蝻数据总线。处理器支持包含LDA,STA,MOV,MVI,ADD,SUB,AND,OR,JZ,JMP十条指令。其中仅有LDA和STA是访存指令。-VHDL language design with a R
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1075788
    • 提供者:zhaoshu
  1. cpu

    0下载:
  2. 16位的5级流水线cpu 采用vhdl代码 modelsim编译仿真-5-stage pipeline 16-bit cpu compiled simulation using modelsim vhdl code
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:4995
    • 提供者:sean
  1. VHDL--8-bit-cpu

    0下载:
  2. VHDL实现简单的8位cpu功能,该程序代码实现cpu部分功能 -VHDL simple function of the 8-bit cpu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2633
    • 提供者:邵舜德
  1. cpu00

    0下载:
  2. VHDL cpu MUTIPYL ADD SUB JMP SHIFTL -VHDL cpu MUTIPYL ADD SUB JMP SHIFTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1300651
    • 提供者:范志明
  1. mycpu

    0下载:
  2. VHDL cpu MUTIPYL ADD SUB JMP SHIFTL -VHDL cpu MUTIPYL ADD SUB JMP SHIFTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1784555
    • 提供者:范志明
  1. CPU-exp

    0下载:
  2. 基于VHDL编写的CPU程序,用微程序的方式实现。内含说明本程序的说明文档。-CPU program written in VHDL, with the micro-program ways.Containing the document of the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1297793
    • 提供者:gy
  1. fpga

    0下载:
  2. vhdl编写的,用来模拟简单cpu的论文-vhdl cpu fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:205444
    • 提供者:耿亚涛
  1. cpu

    0下载:
  2. algoritme tarahie cpu ba estefade az codhaye mojud dar ketabe mano be zabune vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:178014
    • 提供者:sina
  1. cpu

    0下载:
  2. tarahie alu ba estefade az codhaye ketabe mano be zabune vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:601
    • 提供者:sina
  1. IP-code(8051-cpu-jtag-vga_lcd-i2c)

    0下载:
  2. ip核源码,包含8051,cpu,jtag,vga_lcd,i2c,使用vhdl语言编写,-ip nuclear source, including 8051, cpu, jtag, vga_lcd, i2c, using vhdl language,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1290156
    • 提供者:fanglong
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 21 »
搜珍网 www.dssz.com