CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Quartus

搜索资源列表

  1. Verilog

    0下载:
  2. 用verilog实现的电子日历程序,在Quartus II上编译通过-Implemented using verilog electronic calendar program, compiled by the Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2721
    • 提供者:断桥
  1. fft

    0下载:
  2. Quartusii的FFT,使用Verilog HDL 语言的FFT-FFT based on Quartusii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6505767
    • 提供者:孙兰
  1. cordic

    1下载:
  2. 在QUARTUS环境下,通过Verilog实现cordic,产生sin,cos-In QUARTUS environment, through the Verilog implementation cordic, generate sin, cos
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-11
    • 文件大小:1709056
    • 提供者:洪依
  1. LCD12864

    1下载:
  2. LCD12864显示 verilog hdl编译已通过 编译器 Quartus II 9.0sp2 所有文件已包含-LCD12864 Show verilog hdl compiler has compiler Quartus II 9.0sp2 through all the files included
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:622012
    • 提供者:王冠
  1. SRAM

    0下载:
  2. 语言:VHDL 功能:利用VHDL编程,实现FPGA对SRAMIS61LV24516的读写操作。由于是针对IS61LV24516型号进行读写的,如果不是此型号的SRAM需要对程序进行时序修改。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function: the use of VHDL programming, FPGA on SRAMIS61LV24516 read and write operations. Because it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1463
    • 提供者:huangjiaju
  1. bch_encode

    0下载:
  2. this bch encoder verilog code-this is bch encoder verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1610
    • 提供者:rakhi
  1. CPU

    0下载:
  2. 一个完整的流水CPU设计,quartus平台,Verilog实现-CPU design a complete water, quartus platform, Verilog realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1100704
    • 提供者:
  1. i2c

    0下载:
  2. I2C IP CORE Verilog quartus-I2C IP CORE Verilog quartusii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11614
    • 提供者:thegreeneyes
  1. uart

    0下载:
  2. uart IP CORE Verilog quartus-uart IP CORE Verilog quartusii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:37229
    • 提供者:thegreeneyes
  1. my_kmp_matching

    0下载:
  2. KMP算法的Verilog HDL实现,模式串从模块的外部输入,计算next函数,然后进行KMP匹配。有仿真。环境为Quartus II 8.0 Web Edition。-Verilog HDL implementation KMP algorithm, pattern string from the module' s external input, calculate next function, then KMP matching. A simulation. Environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1451813
    • 提供者:曹亚良
  1. serial_adder

    0下载:
  2. This is a simple Serial Adder for Quartus II. The source code is in verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:392356
    • 提供者:Junkie
  1. lift_control

    0下载:
  2. 利用Verilog设计的电梯控制器代码,通过QUARTUS进行仿真-Elevator controller design using Verilog, simulation by QUARTUS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:488443
    • 提供者:王阳
  1. cpu

    0下载:
  2. 一个简单的CPU设计,支持add,sub,mvi,mv四条指令,用Verilog语言编写,在Quratus II上编译通过,仿真正确。-A simple CPU design, support add, sub, mvi, mv four instructions, with the Verilog language, compiled by the Quratus II, the simulation is correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:931581
    • 提供者:姜涛
  1. sci_to_mcbsp

    0下载:
  2. 自己写的 mcbsp 转 sci 和 sci转mcbsp 的verilog的程序,欢迎大家 指点,开发环境是Quartus II。-Write their own sci and sci mcbsp turn mcbsp turn the verilog program, we welcome the guidance, the development environment is the Quartus II.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3043079
    • 提供者:12354
  1. ram

    0下载:
  2. verilog 编写的ram代码,开发环境为quartus-ram write verilog code development environment for quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2052703
    • 提供者:li
  1. SRAM

    0下载:
  2. Verilog 语言描述,SRAM的实验操作,Quartus中编译通过-Verilog language descr iption, SRAM experimental operation, Quartus compiled by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:308241
    • 提供者:老虎
  1. BPSK

    1下载:
  2. 用于BPSK调制的自行设计,说明如下: 1.matlab.txt中的程序是matlab平台下的.mat格式。目的是输出一个64*4的矩阵,矩阵的每个元素都为0~255间的整数。矩阵每行的四个数是一个码元的四个抽样点的量化值。但由于当前码元通过升余弦滤波系统时,受到前后共6个码元的共同影响,所以是由6个码元共同决定。这6个码元是随机的,可能是0也可能是1(双极性时可能是-1也可能是+1),故6个码元共2^6=64种情况,所以产生的矩阵是64*4。最后逐行输出这256个数。 2.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:4382
    • 提供者:
  1. veolig_LCD12864

    0下载:
  2. Verilog语言驱动12864,显示英文。在Quartus II软件下编译通过-12864 Verilog language-driven show in English. Compiled in the Quartus II software through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307376
    • 提供者:meixiang
  1. Verilog

    0下载:
  2. 基于Quartus II 9.0 (32-Bit)的Verilog语言时钟程序,五个独立按键分别可调十分秒的加减和确定,此程序通过硬件调试成功。-Based on Quartus II 9.0 (32-Bit) of the Verilog language, clock, five independent second key addition and subtraction, respectively, is adjustable and determined the success of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4302265
    • 提供者:曾斌
  1. Quartus-II

    0下载:
  2. Quartus II 使用方法,叫你如何使用Quartus创建verilog hdl文件,很好很强大-Quartus II, that you use methods how to use Quartus create verilog HDL files, was very, very powerful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:418892
    • 提供者:ytc
« 1 2 3 4 5 67 8 9 10 11 ... 27 »
搜珍网 www.dssz.com