CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Source code

搜索资源列表

  1. 8051-Verilog

    0下载:
  2. 8051的Verilog源代码,包含说明文件,RTL文件,工程等-8051 Verilog source code, including documentation, RTL files, engineering, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:301294
    • 提供者:HP_ccyz2012
  1. code

    0下载:
  2. 轻松成为设计高手(Verilog)书籍的源代码-Easily become a master design (Verilog) source code books
  3. 所属分类:source in ebook

    • 发布日期:2017-05-09
    • 文件大小:2009983
    • 提供者:刘中汉
  1. MPPT-source-code-based-on-FPGA

    2下载:
  2. 用Verilog Hdl语言实现的光伏系统最大功率跟踪的源代码,内包含程序解释说明。-Use Verilog Hdl language implementation of photovoltaic maximum power tracking system source code, contained within the program descr iption .
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-11
    • 文件大小:13933
    • 提供者:刘邦
  1. async_fifo-and-verilog

    0下载:
  2. 异步fifo的详细原理分析说明及verilog源代码,经典推荐!-Detailed descr iption of the principles and analysis of asynchronous fifo verilog source code, the classic recommendation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12077
    • 提供者:雨茗
  1. verilog

    0下载:
  2. cordic算法的基本原理的文档,verilog源代码,非常易于理解。-The basic principle of cordic algorithm documentation, verilog source code, very easy to understand.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:121797
    • 提供者:Haiton
  1. CF-verilog

    1下载:
  2. CF卡的经典整套资料,有芯片手册、接口说明、verilog源码、参考电路,很适合FPGA开发者研究CF卡-Classic set of data on the CF card with a chip manuals, interface descr iption, verilog source code, reference circuit, it is suitable for FPGA developers to study the CF card
  3. 所属分类:Other systems

    • 发布日期:2014-09-02
    • 文件大小:10028032
    • 提供者:wangbo
  1. PCM30-Verilog-source-code

    0下载:
  2. 使用Verilog设计PCM30基群帧同步电路 电路功能说明: 1.输入码流DATA,速率为2.04Mb/S;每帧256bit,其中前8bit为帧同步码;偶数帧的帧同步码为10011011,奇数帧的帧同步码为110XXXXX(X为任意值)。 2.系统初始状态为失步态,失步信号FLOSS输出低电平,电路在输入码流里逐比特搜寻同步码,当搜寻到第一个偶帧同步码后,电路转为逐帧搜寻,当连续三帧均正确地搜寻到同步码后,系统状态转为同步态,失步信号输出高电平;否则电路重新进入逐比特搜寻状态。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1086
    • 提供者:Simon
  1. FPGA-verilog

    0下载:
  2. FPGA一些小工程的verilog源程序,对初学者还是比较有帮助的。-FPGA some small projects of the Verilog source code, for beginners or more help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7391208
    • 提供者:李镇江
  1. uart-verilog

    0下载:
  2. 经典rs232串口Verilog源代码,晶振可随意根据具体情况更改,代码风格非常清晰,明了!-Classic rs232 serial Verilog source code, the crystal can be altered depending on the circumstances, the code style is very clear, clear!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1545
    • 提供者:田勇
  1. Verilog-master

    1下载:
  2. 包含多个verilog源码,主要是AD7606的官方驱动,备注详细,学习参考。-Comprising a plurality of verilog source code, mainly AD7606 official driver, detailed notes, study reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29628416
    • 提供者:Tao heng
  1. MPPT-verilog

    0下载:
  2. MPPT Verilog source code for photovoltaic application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:14097
    • 提供者:mohamed
  1. FPGA-VGA-interface-code

    0下载:
  2. 针对显示器VGA接口通信FPGA的Verilog源代码,主要包括VGA行扫描和帧扫描模块-Verilog source code for communication VGA interface communication, including VGA line scan and frame scan module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:512841
    • 提供者:王大锤
  1. verilog_PLL

    0下载:
  2. 全数字锁相环的verilog源代码,包括鉴相器,K变摸可逆计数器,加减脉冲器和N分频器。已经仿真实现。(All digital phase-locked loop Verilog source code, including phase discriminator, K variable touch reversible counter, add and subtract pulse and N frequency divider. Have been implemented by simula
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:11264
    • 提供者:小米1
  1. Verilog HDL program

    0下载:
  2. 文件详细讲述了使用XILINX产FPGA在ISE平台开发的方法,介绍了Modelsim,chipscope,textbench等仿真方法,并含大量实例以及源代码(File details on the use of XILINX produced FPGA in the ISE platform development methods, introduced the Modelsim, chipscope, textbench and other simulation methods, and
  3. 所属分类:VHDL/FPGA/Verilog

  1. 数字信号处理的FPGA实现-第三版-verilog源程序

    1下载:
  2. 数字信号处理的FPGA实现, 包括了FPGA基础知识,浮点运算,信号处理的FIR FFT等,附录包含源代码(Digital signal processing FPGA implementation, including the basic knowledge of FPGA, floating point operations, signal processing FIR, FFT, etc., the appendix contains the source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:4568279
    • 提供者:btty
  1. 一种arm7源码(Verilog)

    0下载:
  2. 一种arm7源码(verilog),arm7结构比较老了,不过用来初学还是不错的(A kind of ARM7 source code (Verilog))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:61440
    • 提供者:kody.he
  1. I2C

    0下载:
  2. I2C配置接口,verilog源代码。。。。。。。。。。(The I2C configuration interface Verilog source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-30
    • 文件大小:2048
    • 提供者:iwangying
  1. source code

    0下载:
  2. 2.6'TFT_LCD驱动源程序,可以在quartusII平台上直接运行(2.6'TFT_LCD driver source program, you can run directly on the quartus II platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:52224
    • 提供者:芮芊
  1. 8b10b Verilog

    2下载:
  2. 采用verilog语言基于查找表描述8b10b编码源代码(Using Verilog language to describe 8B10B encoding source code based on look-up table)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:臭猴子
  1. 20 CAN总线实验

    0下载:
  2. 基于can总线的,Verilog源代码分享,可以在Z7030芯片开发板进行演示。(Based on the CAN bus, Verilog source code sharing, can be demonstrated in the Z7030 chip development board.)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:1292288
    • 提供者:haotian1989
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com