CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter

搜索资源列表

  1. VHDL-counter-code

    0下载:
  2. 用WHDL实现计数器的各个模块设计,并用FPGA进行功能验证!-With WHDL counter module design and functional verification using FPGA!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:573193
    • 提供者:一个好人
  1. 8254Timer-counter-experiments

    0下载:
  2. 一、实验目的 熟悉8254在系统中的电路接法,掌握8254的工作方式及应用编程。 二、实验内容 使用8254两个计数器级连,采用定时方式工作,周期性的点亮及熄灭发光二极管各0.5秒。 -First, experimental purposes familiar 8254 circuit connection in the system, the master 8254 way of working and the application programming. Experi
  3. 所属分类:assembly language

    • 发布日期:2017-11-29
    • 文件大小:67886
    • 提供者:徐君
  1. counter

    0下载:
  2. C#计算器,主要的一些计算器功能都具备!-c# counter
  3. 所属分类:CSharp

    • 发布日期:2017-12-08
    • 文件大小:138417
    • 提供者:咖啡
  1. counter

    0下载:
  2. 基于FPGA的计数器程序涉及,可以自由移植使用-Transplantation using FPGA-based counter program involves freedom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:3033
    • 提供者:jiangke
  1. variabled-counter

    0下载:
  2. 这是一个变模计数器的vhdl程序,可以实现模值为9、11、13、15的计数功能。-This is a variable modulus counter vhdl program value 9,11,13,15 counting function can be achieved mold.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:3162
    • 提供者:仝侨
  1. counter

    0下载:
  2. vhdl语言做的4位可逆计数器和格雷码转换器,包括具体代码和仿真结果-vhdl language do four reversible counter and Gray code converter, including a specific code and simulation results
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:115419
    • 提供者:张瑞萌
  1. BCD-counter

    4下载:
  2. 一个2位的BCD码十进制加法计数器电路,输入为时钟信号CLK,进位 输入信号CIN,每个BCD码十进制加法计数器的输出信号为D、C、B、A和进位输出信号COUT,输入时钟信号CLK用固定时钟,进位输入信号CIN. -A 2-bit BCD code decimal adder counter circuit input as the clock signal CLK, a carry input signal CIN, D, C, B, A, and the carry output s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:926
    • 提供者:victor
  1. counter

    0下载:
  2. counter program with encoder
  3. 所属分类:Communication

    • 发布日期:2017-11-27
    • 文件大小:803
    • 提供者:kiran
  1. Ch-Counter

    0下载:
  2. This file is a C# tutorial Example, which help to text file Character Counter
  3. 所属分类:CSharp

    • 发布日期:2017-11-16
    • 文件大小:346417
    • 提供者:Tk
  1. COUNTER

    0下载:
  2. a counter upward and donward until a certain number, designed on the quartus 2 web edition 9.1 simple code
  3. 所属分类:Document

    • 发布日期:2017-11-08
    • 文件大小:393492
    • 提供者:Mikejacob
  1. counter-5-taii

    0下载:
  2. counter 5 to open the dor
  3. 所属分类:software engineering

    • 发布日期:2017-12-08
    • 文件大小:9178
    • 提供者:meisam
  1. Counter-less-than-100

    0下载:
  2. 用计数器中断实现100以内的按键计数,包含源代码和proteus电路仿真,值得一看。-Counter interrupt button count less than 100, contains the source code and proteus circuit simulation, worth a visit.
  3. 所属分类:Communication

    • 发布日期:2017-11-18
    • 文件大小:41146
    • 提供者:宁礼佳
  1. pulse-counter

    0下载:
  2. pulse counter实现脉冲计数,对非周期脉冲信号进行计数统计-pulse counter
  3. 所属分类:LabView

    • 发布日期:2017-11-17
    • 文件大小:8839
    • 提供者:savvy wan
  1. binary-counter

    0下载:
  2. 此文件为Altium Designer Summer 09中二进制计数器的源程序,欢迎大家参阅。-This file Altium Designer Summer 09 binary counter source, welcomed everyone to see.
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:76024
    • 提供者:王黎明
  1. counter

    0下载:
  2. 计数器是数字电路系统中最基本的功能模块之一,设计时可以采用原理图或HDL语言完成。 下载验证时的计数时钟可选用连续或单脉冲,并用数码管显示计数值。 -The counter is one of the basic function module in the digital circuit system, can be used in the design of the schematic or HDL language completed. The download validatio
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:11085
    • 提供者:
  1. digital-frequency-counter

    0下载:
  2. 基于FPGA的数字频率计,verilog hdl编写-digital frequency counter ,using verilog hdl
  3. 所属分类:Home Personal application

    • 发布日期:2017-11-26
    • 文件大小:17623
    • 提供者:毋宁
  1. Add-and-subtract-counter

    0下载:
  2. 用于单片机的加减计数器功能,可以完成按键的加减并在数码管上显示-For microcontroller-down counter, you can complete the addition and subtraction of the buttons and digital display
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-14
    • 文件大小:85860
    • 提供者:潭江
  1. counter-function

    0下载:
  2. COUNTERDELETE(NAME) removes the counter specified by name permanently
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-12-05
    • 文件大小:8465
    • 提供者:serginho
  1. -infrared-counter-source

    0下载:
  2. 一段关于红外计数器的源码。可以在0.5米范围内。-A paragraph on the infrared counter source. In the range of 0.5 meters.
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:49340
    • 提供者:郭鸿发
  1. FREQUENCY-COUNTER

    0下载:
  2. Frequency counter, it uses the builtin display of FPGA spartan starter kit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:30063
    • 提供者:zabic
« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 ... 50 »
搜珍网 www.dssz.com