CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 设计

搜索资源列表

  1. OVL

    0下载:
  2. OVL——基于断言的verilog验证 Verilog数字系统设计:RTL综合、测试平台与验证-OVL- assertion-based verification of Verilog Verilog digital system design: RTL synthesis, test and verification platform
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:70426
    • 提供者:
  1. ps2

    1下载:
  2. 基于Verilog开发的PS2的接口设计,可以模拟PS2的鼠标和键盘的接口!-Verilog-based development of the PS2 interface design, can simulate the PS2 mouse and keyboard interface!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:10137
    • 提供者:sq
  1. photo_verilog

    0下载:
  2. verilog开发的电子相册系统,是基于Altera的FPGA芯片和IP核的设计!-Verilog developed electronic album system is based on Altera s FPGA chip and IP core design!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:21824
    • 提供者:sq
  1. FPGAkaifashilidaohang

    0下载:
  2. 《FPGA数字电子系统设计与开发实例导航》的配套光盘,Verilog编写,USB、I2C、MAC的接口设计-"FPGA digital electronic system design and development examples navigation" matching discs, Verilog prepared, USB, I2C, the MAC interface design -err
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-26
    • 文件大小:1567072
    • 提供者:黑洞
  1. verilog_hdl

    0下载:
  2. 精通verilog_hdl语言编程实例程序代码,基于verilog硬件语言的程序设计实例,主要是数字电路方面-Verilog_hdl proficient in language programming examples of program code, based on the Verilog hardware design language of the procedure, the main aspects of digital circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:49342
    • 提供者:songjunmin
  1. VHDL

    0下载:
  2. 采用VHDL语言设计一个4通道的数据采集控制模块。系统的功能描述如下: 1.系统主时钟为100 MHz。 2.数据为16位-数据线上连续2次00FF后数据传输开始。 3.系统内部总线宽度为8位。 4.共有4个通道(ch1、ch2、ch3、ch4),每个通道配备100 Bytes的RAM,当存满数据后停止数据采集并且相应通道的状态位产生报警信号。 5.数据分为8位串行输出,输出时钟由外部数据读取电路给出。 6.具备显示模块驱动功能。由SEL信号设置显示的通道,DISPLAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5783271
    • 提供者:pengfu
  1. fifo

    0下载:
  2. 先进先出缓存器的verilog设计与实现-design of fifo(first in first out)
  3. 所属分类:OS Develop

    • 发布日期:2017-04-25
    • 文件大小:492367
    • 提供者:杨毕辉
  1. FPGA_FFT

    0下载:
  2. 基于FPGA的高速FFT处理器的设计与实现-FPGA-based high-speed FFT Processor Design and Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:74381
    • 提供者:萧球水
  1. alu

    0下载:
  2. 算术运算单元ALU的设计,才用VHDL语言编写,有仿真波形-vhdl alu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:94334
    • 提供者:wer
  1. hbf

    1下载:
  2. 半带插值滤波器设计、综合、仿真和硬件测试-Half-band interpolation filter design, synthesis, simulation and hardware test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18717
    • 提供者:pll
  1. XIAYuWenteacherclassicbooksVerilogDigitalSystemDes

    0下载:
  2. 名师夏宇闻的经典书籍——Verilog数字系统设计教程。-XIA Yu-Wen teacher classic books- Verilog Digital System Design Guide.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-07
    • 文件大小:1325936
    • 提供者:zhangwen
  1. frenquenter

    0下载:
  2. 等精度频率计设计与文档,有源码,doc格式-Precision frequency meter, etc. The design and documentation, has source code, doc format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4726
    • 提供者:ltlt
  1. prbsforip

    0下载:
  2. 本文设计了一种简捷而又高效的伪随机序列产生方法,最后通过统计对比,说名这种方法产生的随机序列不仅周期长 还具有两好的随机特性-This paper designed a simple and efficient method for the selection of pseudo-random sequence, and finally through statistical comparison, saying that this method of random sequence gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268622
    • 提供者:5656
  1. FPGA_radar

    0下载:
  2. 优秀硕士论文,基于FPGA的雷达信号模拟器设计,对学FPGA的,特别是学雷达的同学有很好的参考价值-Outstanding master s thesis, based on radar signal simulator FPGA design, FPGA-on study, in particular the study of radar has a good reference Student Value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-08
    • 文件大小:750021
    • 提供者:zhang
  1. CordicNCO

    0下载:
  2. 基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!-Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4056
    • 提供者:咚咚
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. matlab

    0下载:
  2. 开题报告哦啊 我精心设计的 希望大家喜欢 任意波形发生器-Oh ah problem that the report carefully designed my hope that everyone likes Arbitrary Waveform Generator
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:8142
    • 提供者:韩迪飞
  1. Wireless_Communication_design_of_fpga-source_code.

    0下载:
  2. 书籍“无线通信fpga设计”里的源代码实例,里面有verilog和MATLAB两种语言实例-Books " wireless communications fpga design" in the source code examples, there are two languages verilog and MATLAB examples
  3. 所属分类:source in ebook

    • 发布日期:2017-04-26
    • 文件大小:369052
    • 提供者:天意
  1. HuaweiFPGAdesignflowguide

    0下载:
  2. 华为内部的FPGA设计培训教程,详细阐述了设计流程图、Verilog HDL设计、逻辑仿真、逻辑综合。对大家的学习一定有帮助的。-Huawei within the FPGA design training tutorial, a detailed flow chart of the design, Verilog HDL design, logic simulation, logic synthesis. Study of the U.S. must have help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:34314
    • 提供者:张芸
  1. map

    0下载:
  2. 2009级毕业设计的论文资料,交通灯的资料-2009 graduate thesis design information, information on traffic lights
  3. 所属分类:Document

    • 发布日期:2017-04-08
    • 文件大小:21171
    • 提供者:毛钦
« 1 2 ... 11 12 13 14 15 1617 18 19 20 21 ... 50 »
搜珍网 www.dssz.com