CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 设计

搜索资源列表

  1. fre500000

    2下载:
  2. 等精度数字频率计的Verilog源码,从上到下的设计思路,分为6个模块。上过Altera公司的FPGA板。 供大家参考,希望大家不要照抄!-Such as precision digital frequency meter Verilog source code, from top to bottom of design ideas, divided into six modules. Been to Altera' s FPGA boards. For your reference, h
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-09
    • 文件大小:2955910
    • 提供者:程永生
  1. FPGA_Book_cd

    0下载:
  2. 《无线通信FPGA设计》包含的所有例子源码,包括matlab仿真和verilog源码,本书内容还是非常丰富的,涉及无线通信领域各个方面。不过对于一些比较新的技术,其FPGA实现部分过于简略,难以在工程中实用化。-" Wireless FPGA Design" contains all the examples source code, including the matlab simulation and verilog source code, the contents of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1513770
    • 提供者:呙涛
  1. miaobiao

    0下载:
  2. 用VERILOG实现秒表的开发设计,(1)熟悉按键扫描、按键防抖和数码管驱动接口电路原理;(2)掌握按键扫描、按键防抖和数码管驱动接口电路设计开发;(3)掌握状态机实际应用设计。-To achieve the development of a stopwatch with VERILOG Design, (1) be familiar with key scanning, image stabilization and digital control key driver interface c
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2441468
    • 提供者:邓军
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. c_FPGA

    0下载:
  2. RS232设计,硬件测试通过,VERILOG实现的,比较好的哦-RS232 design, hardware test, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1249471
    • 提供者:洪依
  1. c_xapp260

    0下载:
  2. xilinx应用指南xapp260的中文翻译版本。利用 Xilinx FPGA 和存储器接口生成器简化存储器接口。本白皮书讨论各种存储器接口控制器设计所面临的挑战和 Xilinx 的解决方案,同时也说明如何使用 Xilinx软件工具和经过硬件验证的参考设计来为您自己的应用(从低成本的 DDR SDRAM 应用到像 667 Mb/sDDR2 SDRAM 这样的更高性能接口)设计完整的存储器接口解决方案。-The use of Xilinx FPGA and Memory Interface Gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1123330
    • 提供者:陈阳
  1. Receiver

    3下载:
  2. 基于802.11a的OFDM基带硬件设计的verilog代码,在Xilinx ISE环境下实现-The OFDM-based 802.11a baseband hardware design of the verilog code, in the Xilinx ISE environment to achieve
  3. 所属分类:Other Embeded program

    • 发布日期:2015-01-11
    • 文件大小:2328332
    • 提供者:肖夜
  1. Verilog-Hdl_Circuit_Design

    0下载:
  2. Verilog-Hdl Circuit Design 电路设计-Verilog-Hdl Circuit Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:633186
    • 提供者:zhenglong
  1. verilog

    0下载:
  2. 王金明verilog算法设计教程的配套源程序与答案-Wang Jinming algorithm design tutorial verilog source code and answers matching
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:113328
    • 提供者:jeaesen
  1. verilog_suanfa_xiaojie

    0下载:
  2. verilog算法设计以及FPGA设计的一些注意事项-verilog algorithm design and FPGA design matters needing attention
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7141
    • 提供者:jeaesen
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. usartverilogydm

    0下载:
  2. verilog hdl在FPGA设计中广泛应用,好的程序代码是学习verilog的好帮手-verilog hdl widely used in the FPGA design, a good code is a good helper to learn verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:315385
    • 提供者:翁志能
  1. qpsk_relate

    1下载:
  2. QPSK解调机设计,采用相关解调,用硬件语言verilog描述-QPSK demodulation machine design, using the relevant demodulation, using the hardware descr iption language verilog
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-03-31
    • 文件大小:552
    • 提供者:zhujing
  1. VerilogHDL_tuxiang

    0下载:
  2. 介绍一种用于卫星姿态测量的CMOS图像敏感器--STAR250的时序驱动信号,并使用Verilog HDL语言设计驱动时序电路。经布线、仿真、测试后验证了驱动信号的正确性。 -Introduce a measurement for the satellite attitude CMOS image sensor- STAR250 timing drive signals, and use the Verilog HDL language design-driven sequential circ
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:106734
    • 提供者:zhaox
  1. 2

    0下载:
  2. 介绍一种软件实现分频器和32位计数器,采用可编程逻辑芯片,运用verilog语言设计出一种分频器和32位计数器 -Introduce a software implementation of divider and 32-bit counter, using programmable logic chips, using verilog language to design a divider and 32-bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:158779
    • 提供者:xxx
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:418912
    • 提供者:Zeng jinqiang
  1. Ipcoredesign

    0下载:
  2. 微电子/软硬IP核设计:IP核脚本指南,模型开发指南-Microelectronics/soft and hard IP core design: IP core scr ipting Guide, Model Development Guide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:582044
    • 提供者:qq
  1. state_mm

    0下载:
  2. 有限状态机源码,verilog语言编写。非常详细的示范了FSM状态机的编写。-Finite state machine source code, verilog language. A very detailed model of the FSM state machine preparation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:685
    • 提供者:王先生
  1. CHICAGO5Manual

    0下载:
  2. 高科技的发展使芯片设计不再是半导体工业的领域,现场可编程逻辑阵列(FPGA)的出现使通过软件来快速实现芯片设计成为可能。本系统是广泛面向全球的工程技术人员和大专院校学生,使您能够在最短的时间内掌握FPGA的应用与VHDL/AHDL/Verilog HDL这一电子逻辑设计利器,迅速的加入高级电子设计人才行列。-The development of high-tech chip design is no longer the field of semiconductor industry, fiel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:257817
    • 提供者:童志通
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 ... 50 »
搜珍网 www.dssz.com