CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vga interface vhdl

搜索资源列表

  1. vgaout

    0下载:
  2. VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6964
    • 提供者:余飞
  1. cameralink

    0下载:
  2. 由于目前基于CameraLink接口的各种相机都不能直接显示,因此本文基于Xilinx公司的Spartan 3系列FPGAXC3S1000-6FG456I设计了一套实时显示系统,该系统可以在不通过系统机的情况下,完成对相机CameraLink信号的接收、缓存、读取并显示 系统采用两片SDRAM作为帧缓存,将输入的CameraLink信号转换成帧频为75Hz,分辨率为1 024×768的XGA格式信号,并采用ADV7123JST芯片实现数模转换,将芯片输出的信号送到VGA接口,通过VGA显示器显示
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13232
    • 提供者:lilei
  1. DE2_demonstrations

    0下载:
  2. DE2开发板上的资料,主要是他的例子,含有各种接口程序,如VGA,USB,LCD等-DE2 development board information, mainly his example, contain a variety of interface program, such as VGA, USB, LCD, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-20
    • 文件大小:44078966
    • 提供者:翁文天
  1. program-example-code

    0下载:
  2. mini2440非操作系統下的測試源碼,包括對板上所有硬體,介面,記憶體...的測試源碼.例cmos攝像頭等,都包含在內.-mini2440 test under non-operating system source code, including all on-board hardware, interface, memory test source .... Example cmos camera in first class, are included.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-13
    • 文件大小:3185675
    • 提供者:Charles Chiang
  1. ballgame

    0下载:
  2. 使用FPGA开发的小球挡板游戏 用vga视频接口输出-The development of the use of FPGA baffle ball game with vga output video interface
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:4614
    • 提供者:薛睿
  1. 080637

    0下载:
  2. 基于FPGA的VGA显示控制器的实现 VGA作为一种标准的显示接口得到广泛的应用。本论文依据VGA接口设计原理,采用VHDL语言以及Altera 公司的Cyclone系列FPGA进行VGA显示控制器的设计,最后给出了Ouartus II的仿真结果。-As a standard display interface,VGA has been widely used.According to the designing principle ofVGA interface, Use VttD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:140756
    • 提供者:吕大
  1. vga_color_i

    0下载:
  2. VGA--video interface between the FPGA board and the monitor.
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-02
    • 文件大小:539056
    • 提供者:priya
  1. VGA_test50m

    0下载:
  2. VGA显示: 连接显示器到开发板显示器接口,显示器会显示 640x480 的彩条 -VGA Display: Connect monitor to the development board display interface, the display will show the color of 640x480
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:228049
    • 提供者:panda
  1. vhdlvga

    0下载:
  2. vhdl实现vga接口设计,非常实用的一段代码,学习用-vhdl achieve vga interface design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:51412
    • 提供者:Kevin
  1. Vga

    0下载:
  2. VHDL code to digitally control the interface with a VGA display. Code is technologically independent and can be prototyped in any programmable device or ASIC.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1788
    • 提供者:celia
  1. VGA_Ctrl_VHDL

    0下载:
  2. 使用VHDL在Quartus II环境下实现对VGA接口显示器的控制,显示单色屏、彩条、棋盘格等。-The use of VHDL in the Quartus II environment to realize VGA interface display control, display monochrome screen, color bars, checkerboard grid and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:615780
    • 提供者:nostalgia
  1. vgainterface

    0下载:
  2. VGA interface design by vhdl language and has been tested. it is useful for beginers of vhdl and video processing leaners!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:356659
    • 提供者:tsincons
  1. fpga_dk_ps2_vga

    0下载:
  2. ps2 vga interface in vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2947810
    • 提供者:frostmourne089
  1. 3.VGA

    1下载:
  2. FPGA驱动VGA接口显示彩虹条的实验,代码VHDL跟Verilog HDL的都有-FPGA drive VGA interface to display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:755963
    • 提供者:liwenwen
  1. fpga-vga

    0下载:
  2. 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5575744
    • 提供者:郭晓阳
  1. DE2_115_NIOS_HOST_MOUSE_VGA

    0下载:
  2. 这个代码主要基于VHDL实现VGA接口的驱动。-This code is mainly based on the VHDL driver that implements the VGA interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:4292550
    • 提供者:罗乃好
  1. vga_bmp

    0下载:
  2. 基于VHDL语言读取BMP格式文件,驱动VGA接口在显示屏上显示该图片,并在程序中可控制改图片在显示屏上浮动-Based on the VHDL language to read BMP format files, drives, VGA interface on the screen dynamically displays the picture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2238667
    • 提供者:朱杞柠
  1. vga3_you

    0下载:
  2. VGA接口应用的VHDL语言编程, 已经通过实验验证-VGA interface application has been verified by experiment. . . . . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1697873
    • 提供者:刘刚
  1. plane_game

    0下载:
  2. 基于basys2的打飞机的小游戏,在ise13.4上用vhdl语言开发。通过VGA接口显示,能够显示分数,gameover等字样,己方飞机可控制左右移动,敌方飞机自动移动,碰边界会变方向变速。得分越高,速度越快,难度越大。-Based on basys2 play little game, on the ise13.4 using VHDL language development. Through the VGA interface display, can display the score
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1893976
    • 提供者:范子健
  1. vga

    0下载:
  2. vga This details a VGA controller component that handles VGA signal timing, written in VHDL for use with CPLDs and FPGAs. Figure 1 illustrates a typical example of the VGA controller integrated into a system. As shown, the VGA controller requires a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:219269
    • 提供者:jiang nan
« 1 23 »
搜珍网 www.dssz.com