CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl编程

搜索资源列表

  1. dianliuyuan-MSP430

    0下载:
  2. 直流电流源 用到MSP430系列单片机 quartus软件编程 Vhdl语言-DC current source to use MSP430 MCU the Quartus software programming VHDL language
  3. 所属分类:software engineering

    • 发布日期:2017-11-17
    • 文件大小:390702
    • 提供者:
  1. automat

    0下载:
  2. 自动贩卖机简单编码,VHDL语言编程。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:243321
    • 提供者:庞凯
  1. jing

    0下载:
  2. 用VHDL语言编程一个具有秒计时,定时的数字时钟,其中包括程序,图示,仿真结果及报告。-VHDL programming a stopwatch, digital clock timing, including procedures, icon, simulation results and reports.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:28905967
    • 提供者:景睿睿
  1. BCH_decoder

    0下载:
  2. 基于VHDL的BCH编码的编程,比较完整,方便学习-bch encode vhdl
  3. 所属分类:Compiler program

    • 发布日期:2017-11-17
    • 文件大小:411604
    • 提供者:王普领
  1. clock1

    0下载:
  2. 该代码实现的是使用VHDL语言编程实现的FPGA上的时钟分频。通过修改代码中的参数改变FPGA的输出时钟频率。-The code implements the VHDL language programming on the FPGA clock divider. Changed by modifying the parameters in the code of the output clock frequency of the FPGA.
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-26
    • 文件大小:3146787
    • 提供者:赵晨楠
  1. vga1

    0下载:
  2. alart II硬件vhdl语言,vga显示,实现猜数字游戏小游戏,内部使用ram,vga,nodII编程,内程序完整,适合于课堂课题的完成。-hardware VHDL language alart II, VGA display, the game of guessing game, internal RAM, VGA, nodII programming within the integrity of the process, suitable for the completion of
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:6768098
    • 提供者:liuyang
  1. jiyufpgadeshipingcaijichengxu

    0下载:
  2. 能够很好地进行视屏采集程序,是基于fpga的vhdl语言编程-Can be a good screen capture program, FPGA-based VHDL language programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1261078
    • 提供者:李颖
  1. GAL

    0下载:
  2. 有关gal器件的编程入门,以及常见逻辑门、计数器VHDL程序-For gal device programming entry, as well as common logic gates, counters VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10464239
    • 提供者:嘟嘟
  1. caideng

    0下载:
  2. 应用VHDL语言编程,实验彩灯控制.本程序经EC3C10E144C8验证无误,请放心使用-Application of VHDL language programming, experimental lantern control procedures by EC3C10E144C8 verify the correct, ease of use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1917497
    • 提供者:ljt
  1. 2

    0下载:
  2. VHDL语言编程的FPGA程序,在ISE9.1开发平台中运行,适合FPGA初学者入门学习-VHDL language programming of the FPGA program, run in ISE9.1 development platform, suitable for beginners to learn FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:165194
    • 提供者:周周
  1. CPLD2AT89C51

    0下载:
  2. 实现了单片机AT89C51与CPLD之间的双向通信含有可编程逻辑器件发送数据到单片机的VHDL源程序和CPLD接收VHDL源程序-AT89C51 microcontroller and CPLD achieve a two-way communication between the programmable logic device containing a microcontroller to send data to the receiver VHDL source code and CPL
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1483
    • 提供者:王刘华
  1. combination-logic

    0下载:
  2. 简单的逻辑运算VHDL程序,内含具体的程序要求。对同一种逻辑功能运算做dataflow 和 behavior 两种不同的形式的编程,适于初学者对比学习,了解VHDL运算环境-Simple logic operations VHDL program containing specific procedural requirements. Right to do the same kind of logic function computing dataflow and behavior of tw
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:470045
    • 提供者:Kimberly
  1. code

    0下载:
  2. 这是一个关于vhdl中counter程序 很简单 但是在数据控制系统课程实验中很常见的counter编程-This is a very simple procedure vhdl the counter but in the experimental data control systems course very common counter programming
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-13
    • 文件大小:3157
    • 提供者:zhangzicong
  1. bcd

    0下载:
  2. 这是一个在vhdl中BCD的编程代码 为了可以让它更直观的表现出来 我们最后用7seg的方式 让其表示出来 把结果更加直观的呈现-This is a BCD in vhdl programming code in order to be able to make it more intuitive performance out of our way to let it finally 7seg represented more intuitive presentation of the res
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5178
    • 提供者:zhangzicong
  1. chufaqi

    0下载:
  2. 用vhdl编写的N位除法器,适合初学者学习和编程- written in VHDL a N divider, suitable for beginners to learn and program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:367132
    • 提供者:辰南
  1. 100hexadecimalcounter

    0下载:
  2. 用vhdl对GAL22V10进行编程,实现100进制计数器-Using VHDL programming on GAL22V10, 100 hexadecimal counter
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2668
    • 提供者:icsat
  1. PLI-example

    0下载:
  2. PLI是实现C程序和硬件语言,包括VHDL,verilog混合编程的接口规范,本例给出VC++环境示范例子,实现VERILOG可以调用C语言函数。-PLI is the specification to perform interface between C and HDL language. This example give a c function called by verilog!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:303750
    • 提供者:hanyu
  1. vga3_you

    0下载:
  2. VGA接口应用的VHDL语言编程, 已经通过实验验证-VGA interface application has been verified by experiment. . . . . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1697873
    • 提供者:刘刚
  1. verilog-hdl

    0下载:
  2. VHDL的各种算法算例,可供西电的大作业设计参考,是学习可编程语言的必备算例-VHDL examples of various algorithms available for Western Electric' s big job reference design is essential to learn a programming language examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:176491
    • 提供者:李小敏
  1. TCD2252D_vhdl

    0下载:
  2. 可编程逻辑器件对TCD2252的驱动程序VHDL-Programmable logic device drivers for TCD2252 VHDL
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:759
    • 提供者:章金敏
« 1 2 ... 33 34 35 36 37 3839 40 41 »
搜珍网 www.dssz.com