CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl state machine

搜索资源列表

  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. st_11

    0下载:
  2. cpld状态及设计。 很好的文章。 要设计vhdl状态机的话,最好看看。-cpld state and design. Good paper. Vhdl to design the state machine, the best look.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:113996
    • 提供者:wang
  1. lc2

    0下载:
  2. this a pack include source code for quartus 2. It is an implementation of the LC2. The LC-2 computer is described in Introduction to Computing Systems from Bits & Gates to C & Beyond by Yale Patt and Sanjay Patel, McGraw Hill, 2001. The LC2 mode
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:43004
    • 提供者:ngzhongsyen
  1. 7状态机设计

    0下载:
  2. 这是“状态机设计(讲稿)”,希望对正在学VHDL的同学有帮助,谢谢!-This is the "state machine design (the scr ipt)", and I hope to learn VHDL is there to help the students, thank you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5337925
    • 提供者:振臂
  1. vhdl_model.rar

    0下载:
  2. VHDL实例,各个方面均有,基本语法,状态机,汉明码,寄存器,步进电机控制器,表决器,多路选择器,译码器等等,VHDL model,include: basic grammer,moore mealy state machine,register,counter,multi,decoder,et..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:50510
    • 提供者:Rainer
  1. cs555.rar

    0下载:
  2. 这是一个用VHDL语言写的用状态机控制cs5550进行AD转换的代码,里边包含用逻辑分析仪进行分析的文件。具有很强的可移植性。,This is a work written in VHDL language using state machine control cs5550 for AD conversion code inside that contains the logic analyzer with an analysis of documents. Are highly portab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22293751
    • 提供者:是傲霜
  1. prat5

    0下载:
  2. This code allows an application with the state machine in VHDL and his conception
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1570
    • 提供者:mapo
  1. AD9833

    0下载:
  2. VHDL语言 状态机实现AD9833信号的产生-VHDL language state machine to achieve AD9833 signal generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1290300
    • 提供者:成天
  1. statemachine

    0下载:
  2. 用VHDL实现的有限状态机,还有modelsim仿真文件,及仿真结果-VHDL implementation using finite state machine, there modelsim simulation file, and the simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:152657
    • 提供者:pxjy
  1. fsm

    0下载:
  2. VHDL新手入门:有限状态机练习(三段式结构)-VHDL Getting Started: Finite state machine exercises (three-stage structure)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:936
    • 提供者:Domo
  1. bujindianji

    0下载:
  2. 利用FPGA,VHDL语言的状态机设计步进电机驱动。-FPGA, VHDL language state machine design stepper motor driver. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:293299
    • 提供者:卢宇生
  1. sdram_vhdl_lattice

    0下载:
  2. sdram接口的vhdl实现,适用于lattice的FPGA,内含状态机和各个模块的具体实现-SDRAM interface VHDL realization lattice applied to the FPGA, containing the state machine and the concrete realization of each module
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:181982
    • 提供者:shroy
  1. diyabiao

    0下载:
  2. moore状态机~~~ 用vhdl语言实现-moore state machine ~ ~ ~ using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:14455
    • 提供者:黎明
  1. qudou

    0下载:
  2. 通用的基于状态机的VHDL按键及信号去抖动模块,非常有用-Generic VHDL-based state machine keys and signal to the jitter module, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1062
    • 提供者:
  1. 2

    0下载:
  2. 里面有四个vhdl源程序 分别为状态机 三位表决器 和交通灯 优先编码器-There are four VHDL source code for the state machine, respectively, the three voting machines and traffic lights priority encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:12029
    • 提供者:邓法群
  1. ch4ex

    0下载:
  2. 一部分简单时序逻辑电路的VHDL源代码,未包含状态机描述-Part of a simple sequential logic circuits VHDL source code, does not contain a descr iption of state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7720
    • 提供者:王修杨
  1. ch5ex

    0下载:
  2. 几个稍微深入的时序逻辑电路和状态机的VHDL代码-Several little-depth sequential logic circuit and state machine of the VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6723
    • 提供者:王修杨
  1. ch7ex

    0下载:
  2. 简单数字系统的VHDL代码,综合了组合,时序,和状态机-Simple digital system VHDL code, a combination of combinations, timing, and the state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5588
    • 提供者:王修杨
  1. vtbird_21

    0下载:
  2. 雷鸟车尾灯状态机,vhdl实现,对学习VHDL的同学有帮助。-Thunderbird taillights state machine, vhdl realize, the study has helped students VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:43178
    • 提供者:ZhengHuazhen
« 1 2 3 4 5 6 7 89 10 11 12 13 14 »
搜珍网 www.dssz.com