CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - xilinx is

搜索资源列表

  1. register

    0下载:
  2. this a project that makes a shift register using VHDL and the Xilinx platform. -this is a project that makes a shift register using VHDL and the Xilinx platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:870847
    • 提供者:sami
  1. add

    0下载:
  2. is a project that achieves a Full Add with VHDL on the platform XILINX
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:991486
    • 提供者:sami
  1. pBlazIDE36

    0下载:
  2. There are literally dozens of 8-bit microcontroller architectures and instruction sets.Modern FPGAs can efficiently implement practically any 8-bit microcontroller, and available FPGA soft cores support popular instruction sets such as
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:591622
    • 提供者:biodun
  1. DesignandFPGAImplementationof

    0下载:
  2. In most cases, a bandpass filter characteristic is obtained by using a lowpass-to-bandpass frequency transformation on a known lowpass transfer function. This frequency transformation controls the location of passband edges and transfer zero
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:195599
    • 提供者:rakesh
  1. FPGAIMPLEMENTATIONOFATUNABLEBANDPASSFILTER

    0下载:
  2. Any Band-Pass filter may be converted into a tunable filter with a single tuning parameter through the use of a new Tunable Heterodyne Band-Pass Filter concept in which the frequency of the heterodyne signal is adjusted thereby translating the
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:334306
    • 提供者:rakesh
  1. jj

    1下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形的单次触发、连续触发和存储回放功能,并按要求进行了垂直灵敏度和扫描速度的挡位设置。信号采集时,将外部输入信号经信号调理模块调节到A/D电路输入范围,经A/D转换后送入FPGA内部的双口RAM进行高速缓存,并将结果通过D/A转换送给通用示波器进行显示,完成了对中、低频信号的实时采样和高频信号的等效采
  3. 所属分类:其他小程序

    • 发布日期:2017-06-10
    • 文件大小:546457
    • 提供者:黄奇家
  1. Xilinx_question

    0下载:
  2. :ISE5.1i是Xilinx推出的具有ASIC-strength的设计工具,它充分发掘了VirtexⅡPro系列芯片的潜力;Virtex-II Pro 系列芯片的密度是从40,000门到8,000,000门。同4.1i相比,设计人员在编译时所花的时间得到了成倍提高(从100,000/min增加到200,000门/min)并且在器件速度上增加了40 。-: ISE5.1i is a Xilinx introduced a ASIC-strength design tools, which ful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:103250
    • 提供者:backoff
  1. couter

    0下载:
  2. 这是一个基于Xilinx Spartan3e开发板的非常简单的一个源代码,对于初学者可以用来熟悉Fpga的开发流程用。-This is a very simple code based on xinlinx Spartan3e board,it s very useful for beginner to study FPGA.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:367352
    • 提供者:liuyang
  1. quaddecoder_verilog_ise11.2_used_09042010

    0下载:
  2. Two simple Quadrature decoder and Counter build in a XILINX XC9536 CPLD. This Core is coded in Verilog and contains the compete Project file and the fitted quad.jed File. The Pinout is descr ipted in the Constrained file quad.ucf. To use them, y
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:71045
    • 提供者:JUPP
  1. all

    0下载:
  2. This application is about Xilinx FPGA. It suits students in the college who have little knowlege about the FPGA.
  3. 所属分类:Education soft system

    • 发布日期:2017-05-16
    • 文件大小:4535151
    • 提供者:sinong
  1. 61EDA_D825

    0下载:
  2. 该设计针对SMB总线进行的控制操作,包括控制,接口及仿真文件-THIS DESIGN IS PROVIDED TO YOU “AS IS”. XILINX MAKES AND YOU RECEIVE NO WARRANTIES OR CONDITIONS, EXPRESS, IMPLIED, STATUTORY OR OTHERWISE, AND XILINX SPECIFICALLY DISCLAIMS ANY IMPLIED WARRANTIES OF MERCHANTABILITY, N
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:864261
    • 提供者:qin
  1. leapyear

    0下载:
  2. 在Xilinx ISE软件下关于瑞年计数器的工程,可以判断某一年份是否为瑞年。包含代码及测试代码,已经通过编译,综合,仿真波形完全正确。-Under the Xilinx ISE software counters on the Swiss-year project, can determine whether a given year in Switzerland. Contains code and test code, has passed compiled, integrated, si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:144617
    • 提供者:
  1. FIR

    1下载:
  2. 这是一个在MATLAB上编写的FIR滤波器程序,并能被AccelDSP综合,下载到Xilinx上进行硬件仿真,适合对AccleDSP学习的人应用-This is a MATLAB program to write the FIR filter, and can be integrated AccelDSP downloaded to the Xilinx on hardware simulation, suitable for application on AccleDSP learn,
  3. 所属分类:Other systems

    • 发布日期:2014-05-24
    • 文件大小:880349
    • 提供者:qiwen
  1. GeneratingFPGA-AcceleratedDFTLibraries

    0下载:
  2. 关于DFT的文章,应用FPGA实现傅立叶变换。-Abstract—We present a domain-specific approach to generate high-performance hardware-software partitioned implementations of the discrete Fourier transform (DFT). The partitioning strategy is a heuristic based on the DFT
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:235386
    • 提供者:李然
  1. fpga

    0下载:
  2. 十分钟学会Xilinx FPGA 设计,是中文版的,比较详细,很容易上手,供大家学习-10 minutes Society of Xilinx FPGA design, is the Chinese version, more detailed, it is easy to use, for everybody to learn ~ ~ ~
  3. 所属分类:software engineering

    • 发布日期:2017-05-08
    • 文件大小:1736170
    • 提供者:candice
  1. ucosII_fpga

    0下载:
  2. ucos 在xilinx FPGA上的移植代码和bsp编写工程-This is a xilinx FPGA ucos and bsp source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4304080
    • 提供者:qinjian
  1. xlinx

    0下载:
  2. xilinx在天津的培训 是其大学培训计划的成功结果-xilinx training in Tianjin is the successful outcome of university training programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6032497
    • 提供者:李明
  1. VGA_RefComp

    0下载:
  2. The VGA Reference Component 基于Xilinx SPARTAN-3E开发板-The VGA Reference Component generates the signals to display an image on a standard VGA display. It can manage both 640x480 and 800x600 resolutions and is compatible with both CRT and LCD displ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:107641
    • 提供者:cynthia_
  1. dianzizhong

    0下载:
  2. 该代码是用VHDL编写的电子时钟,可以实现调时调分,7段码显示,在Xilinx的Spartan3E上下载测试过,压缩文件中包含了整个工程,并有管脚分配文件,非常适合VHDL的初学者,比如一些基本的按键,去抖,闪烁写法。-The VHDL code is written using the electronic clock adjustment can be achieved when the transfer points, 7 code shown to download the Xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:529211
    • 提供者:wangyu
  1. EXCD-1

    0下载:
  2. 这是基于XILINX公司主推的一款性价比十分高的FPGA开发办的资料原理图,希望对大家有帮助-This is based on the company' s main push of a XILINX very high cost FPGA development office schematic information, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:140104
    • 提供者:zhanghan
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 24 »
搜珍网 www.dssz.com