CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 进制 计数器

搜索资源列表

  1. Counter24hour

    0下载:
  2. 用VHDL语言编写的一个二十四进制计数器,一个脉冲输入引脚,一个复位输入端,四个BCD码输出端。与我另外的八个模块是配配套的。-A 24 binary counter programmed with VHDL language.A pulse input, a reset input, four output BCD code. It is one of my total 9 modules that are used to design a digital clock.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:169876
    • 提供者:chzhsen
  1. CNT10

    0下载:
  2. 10进制计数器,使用altera芯片集成的80c51软核-10 binary counter, use the 80c51 chip altera soft-core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:680
    • 提供者:xuezhe
  1. 365counter

    0下载:
  2. 使用Electronics Workbench 5.0电子仿真软件(EWB)设计的365进制计数器。-Using electronic simulation software Electronics Workbench 5.0 (EWB) design a counter(365 BCD).
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:13495
    • 提供者:ultimat
  1. source

    0下载:
  2. FPGA驱动八位数码管,做为16进制计数器。-16 counter,using verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:10302
    • 提供者:THOMAS
  1. cnt60

    0下载:
  2. 60进制计数器,(由一六进制和十进制连线组成)-60 binary counter (hexadecimal and decimal by a connection form)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:198433
    • 提供者:伍利衡
  1. verilog1

    0下载:
  2. 此代码实现了n进制计数器,有清零。保持还有减一计数。-This code implements the n binary counter, there is clear. Keeping it there by a count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6871
    • 提供者:徐岳
  1. my_counter10_test2

    0下载:
  2. 本程序是用vhdl语言来描写的10进制计数器-decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:231423
    • 提供者:yang
  1. jz_6

    0下载:
  2. 基于VHDL语言编写的六进制计数器-6 system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:248232
    • 提供者:仇之东
  1. EDAshuzimiaobiao

    0下载:
  2. EDA数字秒表 一、总体设计要求: 设计一个数字秒表,共有6位输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分;秒表的最大计数容量为60分钟,当计时达60分钟后,蜂鸣器报警;秒表还需有一个启动信号和一个归零信号,以便秒表能随意启停及归零。 二、技术要点: 1.秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。 2.最关键的是精确的100Hz计时脉冲如何获得,可由高频时钟信号经分频得到; 3.设计时钟扫描模块seltime和显示译码器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:922045
    • 提供者:枫叶儿2012
  1. count10

    0下载:
  2. 10进制计数器,用于一般的计数、计时等基本元件。-ten counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:35436
    • 提供者:huang
  1. clock

    0下载:
  2. clockVHDL数字钟模块CNT60_2 该模块为60进制计数器,计时输出为秒的数值,在计时到59时送出进位信号CO,因为硬件有延时,所以模块CNT60_2在此模块变为00时加1,符合实际。-clockVHDLCapable of normal hours, minutes, seconds, chronograph functions, six digital tube display 24h, 60min, 60s. Sa key is pressed, the timer incre
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:662
    • 提供者:铁笼
  1. CNT8

    0下载:
  2. 八进制计数器 用vhdl程序设计 比较全 大家可以参考-Counter 8
  3. 所属分类:Algorithm

    • 发布日期:2017-03-25
    • 文件大小:23054
    • 提供者:周勇
  1. cnt24

    0下载:
  2. 24进制计数器,实现了电子时钟小时位的24进制计数-24 hex counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3237
    • 提供者:Tom
  1. bcd60counter

    0下载:
  2. 同步的60进制计数器 均用四位二进制表示-60 synchronous binary counter with four binary
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:3178
    • 提供者:罗毅
  1. counter_12

    1下载:
  2. 12进制计数器工程,用xilinx ISE设计,供初学者学习-12 hex counter project using xilinx the ISE design for beginners to learn
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:112939
    • 提供者:皇天
  1. counter

    0下载:
  2. 用vhdl语言,在QuartusII下,时序逻辑电路设计(带置位的异步可逆(加1或减1)6进制计数器)-With vhdl language, in QuartusII under sequential logic circuit design (set asynchronous reversible (plus or minus) hexa counter)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:305483
    • 提供者:李晶盈
  1. count60

    0下载:
  2. 60进制计数器 可以在60个数内计数 并有开始停止功能-60 binary counter can count and 60 the number of start stop function
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-11
    • 文件大小:609
    • 提供者:baicy
  1. word

    0下载:
  2. 英文显示电路显示0到f 的十六进制计数器-English display circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:611
    • 提供者:黄国猛
  1. COUNT60

    0下载:
  2. 60位进制计数器 可将程序下载后进行60进制表现 并应用于电子表运算-60 binary counter can download the program and after the performance of 60 binary operations used in electronic form
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:702
    • 提供者:cy
  1. math

    0下载:
  2. 数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。-Digital clock, designed a show date, hours, minutes, seconds digital electronic clock and hourly chime function. 1HZ standard signal generated by th
  3. 所属分类:SCM

    • 发布日期:2017-12-08
    • 文件大小:1437591
    • 提供者:袁宇
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com