CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - CPLD FPGA

搜索资源列表

  1. Xilinx-ise-9.x-fpga-cpld

    0下载:
  2. 《Xilinx ISE 9.X FPGA/CPLD设计指南》以FPGA/CPLD设计流程为主线,详细阐述了ISE集成开发环境的使用,并提供了多个示例进行说明。书中在介绍FPGA/CPLD概念和设计流程的基础上,依次论述了工程管理与设计输入、仿真、综合、约束、实现与布局布线、配置调试等在ISE集成环境中的实现方法和技巧。《Xilinx ISE 9.X FPGA/CPLD设计指南》结合作者多年工作经验,立足于工程实践,选用大量典型实例,并配有一定数量的练习题。随书配套光盘收录了所有实例的完整工程目录
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-04
    • 文件大小:4804651
    • 提供者:starcool
  1. cpld-usb

    0下载:
  2. usb-fpga通讯,从cpld到usb协议芯片slave fifo的通讯过程指导。-The usb-FPGA communication from the CPLD to usb protocol chip slave FIFO communication process guidance.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:5670
    • 提供者:牟娇
  1. DB25-JATA10

    0下载:
  2. 这是用于ALTERA公司CPLD/FPGA芯片的并口下载器,里面的电阻、电容的参数都是对的,是成熟产品的并口下载器设计方案。-This is used ALTERA chip CPLD/FPGA parallel port download, parameters of resistance, capacitance inside is all right, is a mature product parallel download device design scheme
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:10685
    • 提供者:孙明杰
  1. FPGA-a-CPLD-kaifajingyan

    0下载:
  2. 很难得的FPGA与CPLD开发经验,希望对大家有所帮助-Hard to come by FPGA and CPLD development experience
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:950617
    • 提供者:zouyong
  1. LCD_VHDL

    0下载:
  2. cpld fpga 程序 vhld程序 lcd显示 代码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:349192
    • 提供者:用彩色
  1. KEY4X4_1

    0下载:
  2. CPLD/FPGA,VHDL语言实现键盘按钮扫描,键盘扫描程序-CPLD/FPGA, VHDL language keyboard button scanning, keyboard scanning procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:262027
    • 提供者:孙祥
  1. BCD_ok-BCD

    0下载:
  2. Verilog 4位计时器,可以在CPLD开发板上成功运行-Verilog CPLD FPGA
  3. 所属分类:MPI

    • 发布日期:2017-04-05
    • 文件大小:214191
    • 提供者:猎狐
  1. FPGA_SOPC_starter

    0下载:
  2. 学习CPLD/FPGA/SOPC很好的电子书.讲得很好.对初学者有很好的帮助.-Learning CPLD/FPGA good books. Put it very well. Have a good help for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2046454
    • 提供者:kevin
  1. FPGA

    0下载:
  2. FPGA/CPLD数字电路设计经验分享 适合初学者-FPGA/CPLD digital circuit design experience to share
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:530799
    • 提供者:王晓萌
  1. jiaotongdeng

    0下载:
  2. 理想状态的四路交通灯设计,用CPLD/FPGA驱动的,时间可以更改。-Ideal state of four traffic lights design, CPLD/FPGA-driven, time can be changed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1183
    • 提供者:文辺
  1. UARTWISHBONECompatible---Downloads

    0下载:
  2. 16550 uart code lattice cpld fpga 已经验证-16550 uart ip core
  3. 所属分类:source in ebook

    • 发布日期:2017-05-03
    • 文件大小:713832
    • 提供者:zjc
  1. Altera-usb-blaster

    0下载:
  2. 这是altera CPLD\FPGA USB blaster的驱动,完全可用。-This is altera CPLD/FPGA USB blaster driver, completely available.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:832049
    • 提供者:叶胜东
  1. VHDL_cpld

    0下载:
  2. 用CPLD做了个FPGA的FPP下载时序,验证过。-done with CPLD- FPGA FPP download timetables tested.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:5275
    • 提供者:buted
  1. CPLD

    0下载:
  2. 主要是用于实现FPGA的配置,其是通过CPLD来实现,CPLD作为配置控制器。-Is mainly used to implement FPGA configuration, which is achieved through the CPLD, CPLD as a configuration controller.
  3. 所属分类:MPI

    • 发布日期:2017-04-24
    • 文件大小:260663
    • 提供者:吴林煌
  1. FPGA-CPLD--learning-book

    0下载:
  2. 学习FPGA的入门资料,很全面的讲解,几个资料都很好-FPGA learning introductory information, very comprehensive explanation, several data are good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:827046
    • 提供者:陈鑫
  1. phase_shift

    0下载:
  2. cpld/fpga实现移相功能 d触发器 数据选择器 单片机接口-phase_shift using cpld/fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6040
    • 提供者:陈彭鑫
  1. HDLC-code

    0下载:
  2. 网络通信的HDLC源码,使用CPLD/FPGA实现-HDLC network communications source code, the use of CPLD/FPGA to achieve
  3. 所属分类:Picture Viewer

    • 发布日期:2017-04-30
    • 文件大小:65192
    • 提供者:albert
  1. fpga

    0下载:
  2. 有关FPGA的好多资料的综合汇总,包括夏宇闻-Verilog经典教程,Verilog-testbench的写法,Altera+FPGA/CPLD设计高级篇,Altera+FPGA/CPLD设计基础篇等好几本书,超值-A comprehensive summary of a lot of information about FPGA, including Xia Wen-Verilog classic tutorial, Verilog-testbench writing, senior Alte
  3. 所属分类:source in ebook

    • 发布日期:2017-12-12
    • 文件大小:48264192
    • 提供者: libao
  1. led

    0下载:
  2. 利用计数器设计延时函数,通过四个led灯的闪烁,可以直观观察延时时长,fpga器件cyclone iv LCMXO2-1200HC-4TG144CR1,在demo板上作简路图(Using the counter to design the delay function, through the flashing of four LED lights, we can observe the delay time directly, FPGA device cyclone IV LCMXO2-12
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:3163136
    • 提供者:qing wang
  1. xapp502配置例程

    0下载:
  2. FPGA配置例程,VHDL语言,使用CPLD对FPGA进行配置(The FPGA configuration routine, VHDL language, using CPLD on the FPGA configuration)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:18432
    • 提供者:xiaohu111
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 24 »
搜珍网 www.dssz.com