CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - DDS vhdl

搜索资源列表

  1. DDS.rar

    4下载:
  2. FPGA控制AD9854的源文件,verilog,附有简单文档。,FPGA to control the AD9854 source file, verilog, with a simple document.
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-08
    • 文件大小:819916
    • 提供者:柴佳
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:xxx
  1. 16

    0下载:
  2. dds应用主要产生正弦波形,可以自己稍加修改即可-dds applications arising primarily from the sinusoidal waveform, can be slightly modified
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:4632
    • 提供者:冯刚
  1. 200741691252

    1下载:
  2. dds源代码,vhdl程序,函数信号发生器。-dds source code, vhdl procedure, function signal generator.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:4859
    • 提供者:吴飞
  1. dds

    0下载:
  2. 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:278374
    • 提供者:吴健
  1. dds

    0下载:
  2. 这是一个用vhdl语言实现dds的例子,已在quartusII里调通并可以下载到实验箱上,功能正确-This is a use of VHDL language dds example, has been in tune quartusII pass and can be downloaded to the experimental box, the function correctly
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:331839
    • 提供者:leezhihui
  1. FPGA_signal_general

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。 关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号-Abstract: Direct Digital Synthesis (DDS) technology, the basic principles are giv
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:102056
    • 提供者:赵文
  1. dp_test

    0下载:
  2. 本程序是用VHDL语言编写的,其中包括并口通讯,DDS电机调速,编码器信号处理等,对研究这方面的工程人员有一定参考作用-This procedure is used VHDL language, including the parallel port communication, DDS motor, encoder signal processing and so on, to look at this area of engineering staff have a certain refe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:602791
    • 提供者:maolianghu
  1. example10

    0下载:
  2. :正弦波发生器例程,包括了直接数字频率合成(DDS)的原理以及如何应用CPLD产生频率可控频率的正弦信号。-: Sine wave generator routine, including a direct digital synthesizer (DDS), as well as the application of the principle of frequency control CPLD generated sinusoidal signal frequency.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:57371
    • 提供者:周平
  1. dds

    0下载:
  2. DDS数字频率合成器,使用很方便,整个工程下载,vhdl语言-DDS digital frequency synthesizer, using the very convenient to download the whole project, vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:656667
    • 提供者:陈星
  1. AD9854

    0下载:
  2. AT89C52控制AD9854的DDS信号发生器程序 其中包括各种分类子程序-AT89C52 control of the DDS signal generator AD9854 including various classification procedures Subroutine
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:6321
    • 提供者:zebftu
  1. DDSckkc

    0下载:
  2. 以把直接数字频率合成(DDS)看成这样一种技术,它能用数字值形式的信号控制正弦波的频率。最简单的DDS电路包括一个二进制计数器,一个以等间隔正弦波值进行全波编程的ROM,以及一个数模转换器,用于将存储的正弦波值转换为电压。计数器的时钟频率决定了正弦波的频率,但这 -To the Direct Digital Synthesis (DDS) as such a technology, it can use the digital value of the form of the frequen
  3. 所属分类:Screen Saver

    • 发布日期:2017-04-02
    • 文件大小:199284
    • 提供者:huangz
  1. DDSyuanma

    0下载:
  2. DDS波形发生器 (Synplify pro 编译通过)--输出频率 Fout = Fclk*2^M/2^N--分辨率 Fclk/2^N--最大输出频率 Fout = Fclk*50 (理论值,抽样定理)-DDS Waveform Generator (Synplify pro compiler through)- the output frequency Fout = Fclk* 2 ^ M/2 ^ N- Resolution Fclk/2 ^ N- the maximum output fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:304816
    • 提供者:lishaozhe
  1. dds

    1下载:
  2. 如何利用FPGA产生DDS调频信号 很具体的-How to make use of DDS generated FM signal FPGA specific
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:757234
    • 提供者:梁梁
  1. dds

    0下载:
  2. 基于fpga的函数发生器设计通过fpga实现正弦波输出-基于fpga的函数发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:62147
    • 提供者:江孝栋
  1. dds_v3_test3

    1下载:
  2. DDS控制器在FPGA上的实现,使用Quartus II8.1开发环境,使用Altera 原理图设计方法,10位宽度,配合dac9-DDS controller in the FPGA on the realization of Quartus II8.1 use development environment, the use of Altera schematic design, 10-bit width, with dac900
  3. 所属分类:Other systems

    • 发布日期:2015-01-18
    • 文件大小:14858390
    • 提供者:张文
  1. dds

    0下载:
  2. da的代码,在VHDL的编译环境下的开发。是一种集约的形式。-DA convert
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:550
    • 提供者:huruifang
  1. dds

    0下载:
  2. dds 驱动 ad9851 fpga vhdl-ad9851 dds ad9851 fpga vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1544097
    • 提供者:ZHANGLONG
« 1 2 34 5 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com