CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - FPGA ad

搜索资源列表

  1. FPGA-using-for-SDR

    0下载:
  2. FPGA在软件无线电设计中的应用,AD、DA,FIR、CIC的设计-FPGA SDR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-15
    • 文件大小:23131290
    • 提供者:luhb
  1. ad_da

    1下载:
  2. Altera FPGA ad采样,da回放-Altera FPGA AD sampling, da playback
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-20
    • 文件大小:580608
    • 提供者:小亮
  1. DA_TLC5620

    1下载:
  2. fpga实验板上的实现DA,AD转换,按动按键,数码管显示增加-The realization of the board on fpga DA, AD transform, press the button, digital pipe display increased
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:703008
    • 提供者:123
  1. AD

    0下载:
  2. 基于FPGA的对ADC0809控制的一些实例,对初学者有一定的帮助-Based on FPGA for control of the ADC0809 a simple procedure, to help beginners understanding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:763446
    • 提供者:
  1. AD

    0下载:
  2. 利用FPGA芯片控制模拟信号到数字信号的转换-FPGA chip to control the conversion of analog signals to digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6252
    • 提供者:江枫
  1. ad

    0下载:
  2. 采用Qutrtus2编程,通过FPGA:CycloneII 系列EP2C5Q208C8N与TLC549P实现AD转换-To adopt Qutrtus2 programming, FPGA: CycloneII the series EP2C5Q208C8N TLC549P AD converter
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:595295
    • 提供者:ouranx
  1. signal-process_based-FPGA

    1下载:
  2. 给予FPGA内核处理语音信号,外围部件有AD/DA芯片,RTL级实现对信号的采集处理到输出-signal process_based FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:9630588
    • 提供者:赵龙贺
  1. CJQ-V1.0-fpga

    0下载:
  2. 实现FPGA对AD芯片AD7060的控制,程序代码的注释很多,易学易懂,适合初学者学习使用-it is good ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2346429
    • 提供者:gh
  1. FPGA-PROGRAM

    0下载:
  2. 包括有led、lcd、步进电机、ad、da、等程序-Including those led, lcd, stepper motor, ad, da, and other procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1280037
    • 提供者:曾华林
  1. tlc549adc

    0下载:
  2. FPGA AD数据采集模块,实现模拟信号到数字信号转换。-FPGA AD data acquisition module, the analog signal to digital signal conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:685832
    • 提供者:刘芳
  1. AD-and-DA-in-DSPPFPGA

    0下载:
  2. 上海志宇DSP+FPGA开发板AD/DA回放程序-AD/DA in DSP+FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-23
    • 文件大小:3249152
    • 提供者:zhangtao
  1. FPGA-Vrilog

    0下载:
  2. 我们课程设计的代码,课设内容是基于FPGA的时间测量和AD模数转换。该代码是用Verilog语言编写的。-Our curriculum design code, class-based content is FPGA-based time measurement and AD analog to digital conversion. The code is written in Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1516
    • 提供者:Mia
  1. fpga

    0下载:
  2. FPGA代码,包含地址译码模块、16位锁存器、AD片选、死区及滤除窄脉冲、过流和短路保护、解除脉冲封锁模块、PWM模块、PWM选择 -FPGA code, including the address decoder module 16 latches, AD chip select, filter out the dead and narrow pulse, overcurrent and short circuit protection, lifting the blockade puls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:172034
    • 提供者:qiqi
  1. FPGA

    0下载:
  2. 韩福柱老师FPGA实验源码,用vhdl语言在xilinx FPGA上实现,包括ad采集,温度传感器读取,秒表,跑马灯和按键次数统计4个实验-Han Fu teacher FPGA column experiment source code, vhdl languages on xilinx FPGA implementations, including ad acquisition, temperature sensor readings, stopwatch, marquees and key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:8433
    • 提供者:Jery
  1. FPGA

    0下载:
  2. 睿智开发板配套代码包,板载AD和DA,亲测可用。-Wise development board supporting code package, onboard AD and DA, pro-test available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:8614487
    • 提供者:张国务
  1. shujuchuli

    0下载:
  2. FPGA处理AD转换数据,程序简单实用,带注释标注(FPGA processing AD conversion data, the program is simple and practical)
  3. 所属分类:VHDL/FPGA/Verilog

  1. yuanma

    0下载:
  2. 介绍了fpga开发的的数个工程源码,包括按键,时钟,AD/DA,VGA,数字示波器等(Introduced FPGA development of several engineering source code, including buttons, clock, AD/DA, VGA, digital oscilloscope, etc.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:92250112
    • 提供者:大众
  1. AD4003_CTR

    0下载:
  2. 一个AD4003的测试/控制程序,2Ms/s,18bit的AD高速AD芯片(A AD4003 test / control program, 2Ms/s, 18bit AD high speed AD chip)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:7486464
    • 提供者:胜寒
  1. DA_AD

    0下载:
  2. 基于FPGA的AD和DA设计代码及文档(Design code and document of AD and DA based on FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:10486784
    • 提供者:hanchen
  1. -双路高速AD(AD9226)模块板发行资料

    1下载:
  2. 其中包括AD9226的原理图和应用程序,可以参考完成其他编程(Including AD9226 schematics and applications, you can refer to complete other programming)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:5984256
    • 提供者:公子小白
« 1 2 3 4 56 7 8 9 10 11 12 »
搜珍网 www.dssz.com