CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - QuartusII

搜索资源列表

  1. 8LED

    0下载:
  2. QuartusII平台下Verilog语言实现的8段LED显示程序-Verilog language QuartusII platform 8-segment LED display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:2929
    • 提供者:FantasyDR
  1. cshiyan2012

    2下载:
  2. 基于EDA软件平台上,用硬件描述语言verilog设计完成分频器、计数器、串行移位输出器、伪码发生器、QPSK I/Q调制器、QPSK I/Q解调器,基于选项法中频调制器,再将各个模块综合起来组成一个完整系统;并用quartusII软件对其进行仿真验证。-EDA software platform based on the hardware descr iption language verilog design complete shift of the frequency divider,
  3. 所属分类:STL

    • 发布日期:2014-01-27
    • 文件大小:1906064
    • 提供者:赵旋
  1. ESysDesign

    0下载:
  2. quartusII 调试,产生可调频率的正弦波、方波、三角波-quartusII process, output sin wave, square wave, triangular wave
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-26
    • 文件大小:856944
    • 提供者:yang
  1. simplepwm

    0下载:
  2. quartusII调试,简单的pwm信号输出,FPGA初学者入门程序,高手勿进-quartusII debugging, not into simple pwm signal output FPGA beginner program, master
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:154546
    • 提供者:yang
  1. PS2_Verilog

    0下载:
  2. QuartusII下基于Verilog的PS2接口设计和说明文档-QuartusII PS2 interface design based on Verilog and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1855541
    • 提供者:程亮
  1. LAB-16

    0下载:
  2. 用FPGA实现的性线反馈移位寄存器(LFSR)设计。整个工程在quartusII环境下,用verilog编程。-FPGA implementation of the line feedback shift register (LFSR) design. The whole project in verilog programming the quartusII environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:304314
    • 提供者:李娟
  1. fft_8

    0下载:
  2. 基于quartusiI的8位傅立叶变换。verlog程序加仿真。-Based quartusiI eight Fourier transform. verlog program plus simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:22291608
    • 提供者:一棵树
  1. tt_qsys_design

    0下载:
  2. Altera Qsys设计实例,软件需要QuartusII 11.0以上版本-Qsys Tutorial Design Example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:224124
    • 提供者:renaifeng
  1. fft_1024

    0下载:
  2. 1024点FFT处理器,能通过quartusII验证通过-1024 point fft code,can pass the test of the software quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:2742
    • 提供者:王喆
  1. FPGA-DDS

    0下载:
  2. 基于FPGA的DDS实现QuartusII工程和论文-Based on the FPGA DDS QuartusII project and paper
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:5077426
    • 提供者:左向利
  1. 8051_IP_DOC

    0下载:
  2. K8051单片机是以由VQM原码(Verilog Quartus Mapping File)表达的,在QuartusII环境下能与VHDL、Verilog等其他硬件描述语言混合编译综合,并在单片FPGA中实现全部硬件系统,并完成软件调试。-K8051 microcontroller in by the the VQM original code (Verilog Quartus Mapping File) expression, can under in QuartusII environmen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:149263
    • 提供者:zyb
  1. I2C_EEPROM

    0下载:
  2. 1. 本测试是夏宇闻 verilog数字系统设计教程,中的例程。 2. 编译环境Quartusii 3. 仿真环境Modelsim se 6.5d 4. 可综合部分已经经过quartus 验证正确 5. 仿真部分通过将I2C模块与一个EEPROM模型组合,通过时序仿真-EEPROM_I2C Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:4738966
    • 提供者:刘栋
  1. DZ07E1_08Z_LCQ

    0下载:
  2. 基于FPGA的交通控制器,在QuartusII+VHDL-FPGA-based traffic controller
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-11
    • 文件大小:1043776
    • 提供者:liuchengqiao
  1. UART

    0下载:
  2. 本论文使用Verilog HDL 语言描述硬件功能,利用QuartusII 5.0在 FPGA 芯片上的综合描述,采用模块化设计方法设计UART(通用异步收发器)的各个模块。-The paper using Verilog HDL language to describe hardware features, the use of the FPGA chip QuartusII 5.0 comprehensive descr iption of the modular design approa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:312416
    • 提供者:wangjianyuan
  1. multiplication

    0下载:
  2. 1.sign_multi文件夹中是有符号数的乘法运算,实现了4bit*4bit的数据运算。 2.unsign_multi文件夹中是无符号的4bit*4bit运算。 以上两个文件均通过Quartusii综合 另外每个文件夹中又包括sign_multi_modelsim和unsign_multi_modelsim文件夹,其中包含了用modelsim仿真的所有文件,已调试通过仿真。-1.sign_multi folder is the multiplication of the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:8289527
    • 提供者:刘栋
  1. digital-colok

    0下载:
  2. 用quartusII编写的vhdl代码,在板子上输出的显示就是数字钟,也可以重置、设置时间。-With written in VHDL quartusII code, the output is the digital clock is displayed on the board, you can also reset, and the time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:10345472
    • 提供者:
  1. QuartusII

    0下载:
  2. 此模块为altera官网提供模块,使用硬件描述语言Verilog语言编写的FFT基于FPGA实现。-This module provides a module for the Altera website, the use of hardware descr iption language Verilog language FFT based on FPGA.
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:1300898
    • 提供者:庄德坤
  1. RISC-CPU

    0下载:
  2. 精简指令集 CPU 通过仿真验证正确 (使用之前务必看readme文件,和结构图!) 1. 此cpu是夏宇闻 verilog数字系统设计教程中最后一章的例程。 2. 学习时务必先搞明白框图原理,和数据流动!!! 3. 牢记主状态机中一条指令周期中传输的16bit=3bit指令+13bit地址。 4. 理解数据总线,和地址总线。区分数据和地址。 5. 仔细调试,因为书中有很多小错误。 程序经过quartusii编译通过,另外经过modelsim仿真正确。-RISC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:4338145
    • 提供者:刘栋
  1. lab12

    0下载:
  2. QuartusII上的有限状态机的工作原理和设计的实现-Finite state machines at QuartusII principles and design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:1007333
    • 提供者:平容
  1. lab11

    0下载:
  2. 利用几种不同的方式在QuartusII上设计FPGA片上存储器-Using several different ways in the FPGA on-chip memory design QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:1644571
    • 提供者:平容
« 1 2 ... 18 19 20 21 22 2324 25 26 27 28 29 30 »
搜珍网 www.dssz.com