CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. COUNT_10

    0下载:
  2. VHDL源代码.设计一个带有异步清0功能的十进制计数器。计数器时钟clk上升沿有效,清零端为clrn,进位输出为co。 -VHDL source code. Asynchronous design with a 0-counter function of the metric system. Counter clock clk ascending effective end to reset clrn, rounding output co.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:928
    • 提供者:sky
  1. COUNT_4qiduan

    0下载:
  2. VHDL源代码.设计一个模为4的计数器,并在实验箱上用七段数码管显示结果-VHDL source code. Design a scale of four counters, and the experimental box used in paragraph 107 of Digital Display Results
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:791
    • 提供者:sky
  1. cnt10

    0下载:
  2. 用VHDL语言编的带有异步清零功能的十进制计数器-using VHDL addendum to the asynchronous reset function with the decimal counter
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:30560
    • 提供者:yanyuntao
  1. my_design_frequency

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号是最重要的信号之一。 下面我们介绍分频器的 VHDL 描述,在源代码中完成对时钟信号 CLK 的 2 分频, 4 分频, 8 分频, 16 分频。 这也是最简单的分频电路,只需要一个计数器即可。-in digital circuits, and often the need for higher frequency for the clock frequency operation, th
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1435
    • 提供者:卢吉恩
  1. count16

    0下载:
  2. count16.vhd 16位BCD计数器VHDL源程序-count16.vhd 16 BCD counter VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:841
    • 提供者:杨奎元
  1. VHDLexample49

    1下载:
  2. VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44507
    • 提供者:刘一
  1. 53_counter11

    0下载:
  2. 计数器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-counter, using VHDL coding, you may not have much use, but as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1378
    • 提供者:jinlong
  1. vhdl_clock

    0下载:
  2. VHDL实现数字时钟,利用数码管和CPLD 设计的计数器实现一个数字时钟,可以显示小时,分钟,秒。程序主要要靠考虑十进制和六十进制计数器的编写。 以上实验的程序都在源代码中有详细的注释-VHDL digital clock, the use of digital control and CPLD design to achieve a number of counter clock, show hours, minutes and seconds. The procedure depends
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:324949
    • 提供者:赵海东
  1. sdgshjd

    0下载:
  2. 数字系统设计这是有关的相关源代码,有简易CPU 除法器、计数器等 ...[fpdiv_vhdl.rar] - 四位除法器的vhdl源程序 [vhdl范例.rar] - 最高优先级编码器8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使 BR> ... -Digital System Design This is the underlying source code, a simple C
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:张瑞
  1. vhdlshiyan

    0下载:
  2. 本文为采用VHDL编写的程序及报告。步骤如下:1设计三位二进制计数器程序 二:设计一驱动循环显示7位数字 2编写LED控制程序如下: 3设计采用原理图方式如下: -VHDL paper prepared for the introduction of procedures and reports. Steps are as follows : Design of a binary counter three two procedures : Design of a drive
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-15
    • 文件大小:296936
    • 提供者:梁兵
  1. shijizhi

    0下载:
  2. 十进制加法计数器.VHDL程序,可在Quratus 2中运行
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:167369
    • 提供者:晨曦
  1. dividefre

    0下载:
  2. CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127056
    • 提供者:flyingfish521
  1. EXPT43_cnt10

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA含异步清0和同步时钟使能的加法计数器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:35037
    • 提供者:多幅撒
  1. COUNT60.20

    0下载:
  2. 用vhdl语言实现模可变计数器的设计 这是学习VHDL语言的经典例子
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3050
    • 提供者:郭海东
  1. geleicounter

    0下载:
  2. 开发环境是FPGA开发工具,格雷码计数器的VHDL程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1226
    • 提供者:horse
  1. generate语句的应用

    0下载:
  2. vhdl实验 计数器:generate语句的应用
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:747
    • 提供者:王天辉
  1. 60COUNTER

    0下载:
  2. 六十进制计数器.电子万年历是计数器的应用之一.年由月的十二进制计数器进位+1得到.月是日的三十进制计数器进位+1得到.日是小时的二十四进制计数器进位+1得到.小时是分的六十进制计数器进位+1得到.分是秒的六十进制计数器进位+1得到.本程序基于VHDL.其开发环境是MAXPLUS2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4662
    • 提供者:weixiaoyu
  1. cnt6

    0下载:
  2. 基于vhdl的6进制计数器模块,实现0-5计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22782
    • 提供者:贝凯
  1. cnt10

    0下载:
  2. 基于vhdl的10进制计数器模块,实现0-9计数
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:24101
    • 提供者:贝凯
  1. CNT4B

    0下载:
  2. 基于VHDL的4位带异步清零的二进制计数器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169176
    • 提供者:luoqianyou
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 25 »
搜珍网 www.dssz.com