CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - VHDL 计数器

搜索资源列表

  1. CNT_24

    0下载:
  2. 用vhdl实现24小时计数器,方法简单实用。 仿真环境MAXPLUS--use VHDL to achieve 24-hour counter, simple and practical method. Simulation environment Segments-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:48512
    • 提供者:dm
  1. 数字系统设计相关

    0下载:
  2. 这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等-This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45195
    • 提供者:刘建
  1. frequency_counter_2(successful)(top-down design).r

    0下载:
  2. 小巧的频率计数器,VHDL源代码和仿真文件具全,直接从管工程文件拷贝过来。绝对可用。-compact frequency counters, VHDL source code and simulation with all documents directly from the control engineering documents copied. Absolutely available.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127107
    • 提供者:wl
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 Adder, digital clock, digital d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:865899
    • 提供者:田晶昌
  1. COUNT_100

    0下载:
  2. 使用Vhdl语言编写的FPGA应用程序,实现的内容是100进制计数器-use Vhdl language FPGA applications, realizing the contents of the 100 NUMBER
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:185818
    • 提供者:丢丢熊
  1. FPGAprogram4

    0下载:
  2. 16位计数器的设计,这里是实现上述功能的VHDL源程序,供大家学习和讨论。 -16 counter design, here is the realization of the above-mentioned functional VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2717
    • 提供者:许嘉
  1. bicount

    0下载:
  2. 完整的双向计数器VHDL 程序 大家参考-integrity of the two-way counter VHDL reference procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1333
    • 提供者:潘科良
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. Quaacounterx

    0下载:
  2. 通过VHDL语言编写的计数器程序,可以在一吗器显示管上分段显示小时,分,秒,并且可以分别清零-VHDL prepared by the Counter procedures, in a yet-tube shown above show hours, and seconds can be reset respectively
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:85065
    • 提供者:Jake
  1. FourBitsCounter

    0下载:
  2. 四进制计数器模块,使用VHDL语言编写,在ISE8.1中经过测试的模型-quaternary counter module, the use of VHDL language, in which ISE8.1 tested model
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:439993
    • 提供者:萧飒
  1. 200652519182195904

    0下载:
  2. 介绍了vhdl语言的知识,包括元件,加法器,计数器等的编程-introduced VHDL language knowledge, including components, Adder, counters and other programming
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:6555
    • 提供者:hcf2005
  1. VHDL_clock

    0下载:
  2. 用VHDL能进行正常的时、分、秒计时功能、分别有6个数码管显示24小时、60分钟、60秒钟的计数器显示。-VHDL can be used for normal hours, minutes and seconds timing were six LED display 24 hours 60 minutes, 60 seconds showed that the counter.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:105273
    • 提供者:lianbin
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. counter10

    0下载:
  2. vhdl编写的十进制计数器,名字叫count10,已配好引脚(VHDL's decimal counter, named count10, has been matched with a pin)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1422336
    • 提供者:li 234
  1. cnt8updown

    0下载:
  2. 8位上下同步计数器 适宜小型练手操作 易于理解(an 8-bit up and down synchronous counter in VHDL with the following features: (1) The same ports are used for signals to be inputted and outputted. The ports are bi-directionally buffered (three-state). (2) The counter is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1014784
    • 提供者:名之联
  1. counter

    0下载:
  2. 基于FPGA平台的,计数器的简单实现过程(Code based on FPGA, a realization of VHDL/counter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:24576
    • 提供者:yida008
  1. jishuqi

    0下载:
  2. FPGA应用底层开发的逻辑单元slice连线实现计数器的功能,包含代码及仿真(FPGA applies the logic unit slice connection that is developed at the bottom to realize the function of counter, including code and simulation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:6642688
    • 提供者:ltfy咖啡
  1. Counter_Design

    1下载:
  2. Counter_Design,采用Altera 设计的计数器源码,性能稳定
  3. 所属分类:VHDL编程

    • 发布日期:2018-07-07
    • 文件大小:9295331
    • 提供者:sxz521mtt
  1. 16进制计数器

    0下载:
  2. 在Quartus2的平台上,利用VHDL语言实现16进制计数器的功能,仿真成功,并能在硬件平台的数码管上显示0到15的计数。
  3. 所属分类:源码下载

  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 25 »
搜珍网 www.dssz.com