CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - dds fpga

搜索资源列表

  1. Simulate

    1下载:
  2. FPGA控制AD逐点采集信号,并将AD转换后的数据串行发送出去。-FPGA to control the signal sampling point by point AD, AD conversion and serial data sent.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-25
    • 文件大小:1227
    • 提供者:Hongjun
  1. FPGA_Examples

    0下载:
  2. 《FPGA嵌入式应用系统开发典型实例》-书的光盘资料,该资料是用VHDL语言编写,作者:叶淦华-" FPGA embedded applications typical example of system development" - the book' s CD-ROM, the information is written in VHDL, the author:叶淦China
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8141199
    • 提供者:LDP
  1. FPGA_SEG7_V4

    0下载:
  2. FPGA应用如sd卡控制,led控制,vga音频控制-Sd card FPGA applications such as control, led control, vga audio control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:92779
    • 提供者:钟灶生
  1. DDS

    0下载:
  2. 此程序使用单片机C言语编写的液晶显示及键盘输出程序,调试已通过。-Use this procedure to prepare single-chip C language of the liquid crystal display and keyboard output procedures, debugging has passed.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:zhxg
  1. TUT1_BASIC1_7C5TP

    0下载:
  2. FPGA的89S51IP核,可以用FPGA实现51,省去了很多的麻烦-FPGA-89S51IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:90302
    • 提供者:邱柳钦
  1. VHDL

    0下载:
  2. DDS产生正弦波(VHDL语言)用DDS产生3MHZ的正弦波,VHDL控制语言-DDS have a sine wave (VHDL language) 3MHZ generated by the DDS sine wave, VHDL control language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:640
    • 提供者:chenyubin
  1. FPGA_SOPC_starter

    0下载:
  2. sopc/FPGA~~!!入门~!适合初学者-sopc/FPGA ~ ~! ! Entry ~! Suitable for beginners! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:2037652
    • 提供者:是得分即
  1. DDS

    0下载:
  2. 通过按键产生不同的波形,并能设置波形频率。-Through the keys produce different waveforms and waveform frequency settings.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:21204
    • 提供者:启岩
  1. 67506256DDS

    0下载:
  2. 基于FPGA 的直接数字频率合成信号发生器(DDS)设计-FPGA-based direct digital synthesizer signal generator (DDS) design. Pdf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2219859
    • 提供者:123
  1. EP1C3_12_10_PHAS

    1下载:
  2. 基于FPGA的移相式DDS正弦信号发生器的VHDL源代码,压缩包里是在Quartus里做的工程,FPGA用的是Cyclone1C3系列-FPGA-based phase-shifting of the DDS signal generator sine VHDL source code, compressed in the bag is done in Quartus Engineering, FPGA is used Cyclone1C3 Series
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:48763
    • 提供者:deadtomb
  1. Lab2a

    0下载:
  2. C Code for a Nios II to switch led on a board with an FPGA ALTERA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1210
    • 提供者:gios78
  1. FPGA

    0下载:
  2. 基于FPGA的直接数字频率合成器的设计和实现.采用DDS频率合成技术。-FPGA-Based Direct Digital Frequency Synthesizer Design and Implementation. Using DDS synthesizer technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:95233
    • 提供者:qs
  1. DDS

    0下载:
  2. 基于FPGA的直接数字信号合成器的代码 仅供大家参考-direct digital frequency sythesis based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1389952
    • 提供者:chjin
  1. 200M_DA_AD

    0下载:
  2. 自己编的,用FPGA实现软件DDS调幅。编程语言是VHDL。拿出来相互学习一下。-Own, and with FPGA AM DDS software. Programming language is VHDL. Look out to learn from each other.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:270981
    • 提供者:lixuedeng
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. dds_v3_test3

    1下载:
  2. DDS控制器在FPGA上的实现,使用Quartus II8.1开发环境,使用Altera 原理图设计方法,10位宽度,配合dac9-DDS controller in the FPGA on the realization of Quartus II8.1 use development environment, the use of Altera schematic design, 10-bit width, with dac900
  3. 所属分类:Other systems

    • 发布日期:2015-01-18
    • 文件大小:14858390
    • 提供者:张文
  1. dds_1024

    0下载:
  2. fpga实现DDS,1024个点,已通过Q2综合,绝对好用-fpga achieve DDS, 1024 points have been integrated through Q2, the absolute ease of use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:475321
    • 提供者:LiuYuan
  1. 20090903FPGA

    1下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. dds_vhdl

    0下载:
  2. fpga VHDL语言,控制DDS产生频率可变的正弦波信号扫频-FPGA VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2759851
    • 提供者:gaoshang
  1. dds

    0下载:
  2. 基于FPGA和DDS的正弦信号发生器程序-Based on FPGA and DDS sinusoidal signal generator program
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:368764
    • 提供者:huangfang
« 1 2 3 4 5 6 78 9 10 11 12 ... 21 »
搜珍网 www.dssz.com