CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fifo code

搜索资源列表

  1. NANDFlashcontrolandFIFOcontrol

    1下载:
  2. 实现NAND Flash块的控制存取以及同步的FIFO的控制 verilog 代码-NAND Flash control access and control of the synchronous FIFO verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:6313
    • 提供者:alliance
  1. memChange

    0下载:
  2. 使用Qt实现的操作系统中内存管理的主要的三个算法(FIFO,LRU,OTPML),源代码中有很详细的说明,可读性很强-Uses in operating system which Qt realizes memory management main three algorithms (FIFO, LRU, OTPML), in the source code has the very detailed explanation, the readability is very strong
  3. 所属分类:OS Develop

    • 发布日期:2017-04-01
    • 文件大小:6707
    • 提供者:
  1. async_fifo

    0下载:
  2. 用verilog语言编写并经过综合验证的异步FIFO的源代码-the verilog code of asynchronizing fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:475990
    • 提供者:马腾宇
  1. test3

    0下载:
  2. 操作系统页面置换算法的源代码,分别包含最佳置换算法,先进先出置换算法,最近最久未使用置换算法以及简单的clock置换算法。-The source code of the operating system page replacement algorithm, respectively, contain the best replacement algorithm, FIFO replacement algorithm, the most-recently-used replacement alg
  3. 所属分类:OS Develop

    • 发布日期:2017-03-31
    • 文件大小:316612
    • 提供者:david
  1. Apptest

    0下载:
  2. cy7c68013写fifo速度测试的代码-the CY7C68013 write the fifo speed test code
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:71861
    • 提供者:马逸群
  1. FIFOUART

    1下载:
  2. fpga实现的基于FIFO的异步串行通信代码,描述语言为Verilog-fpga-based FIFO asynchronous serial communication code descr iption language Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2505
    • 提供者:jiangliang
  1. fifo_ctrl

    0下载:
  2. 好用的fifo控制verilog源代码,供大家学习参考,可以被综合。-Useful fifo control verilog source code for the study reference, can be integrated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:5971
    • 提供者:mmmm1111111111
  1. VFIFOzipe

    0下载:
  2. 用verilog实现异步FIFO,代码中有两个模块,使用时时注意顶层模块和底层模块,用quartus2即可打开直接使用。 -Asynchronous FIFO, with verilog code has two modules, using the constant attention of top-level module and bottom module with quartus2 to open.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:2434
    • 提供者:zcl1233
  1. aasyn_fiffos

    0下载:
  2. verilog编写的异步fifo源代码,asyn_fiifo.v为顶层,调用其他四个文件, -verilog prepared the the asynchronous fifo source code, asyn_fiifo.v for the top floor, calling the other four documents,
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-21
    • 文件大小:2255
    • 提供者:mmzz3211
  1. EbbulkloopZ

    0下载:
  2. EZ-USB FX2 SLAVE FIFO模式固件代码-EZ-USBB FX2 SLAVE FIFO mode firmware code -EZ-USB FX2 SLAVE FIFO mode firmware the code-EZ-USBB FX2 SLAVE FIFO mode firmware code
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-20
    • 文件大小:2265
    • 提供者:yoeooo
  1. fifo_lru_opt_twochance

    0下载:
  2. 请求分页虚拟存储。FIFO,OPT,LRU,二次机会。在他人的代码上修改而成。加入简单页表,逐步显示。可配置页架数,页面总数,访问序列。-Demand paged virtual storage. FIFO, OPT, LRU, second chance. Modifications made in the code of others. Added a simple page table gradually. Configurable page frame number, the total
  3. 所属分类:CSharp

    • 发布日期:2017-11-28
    • 文件大小:190416
    • 提供者:zzzz
  1. Pbbuulksrca

    0下载:
  2. 毕业课题部分程序源码:CY7C68013 Bulk IN 68013工作在AUTO INN模式,16位总线 SLAVE FIFO.MASTER是 ADI BF533 可直接使用。 -Graduation Project part of the program source code: CY7C68013 Bulk IN 68013 work in the AUTO INN mode the 16 bus SLAVE FIFO.MASTER is ADI BF533 can be used d
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-20
    • 文件大小:55455
    • 提供者:overflow
  1. UART8_Receiver

    0下载:
  2. 自己编写的带有FIFO的UART串口接收模块,代码通过状态机实现-I have written to the FIFO UART serial receiver module code by the state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:1378
    • 提供者:wangzhongwei
  1. fifo_uart

    0下载:
  2. uart的verilog代码,包含fifo,并且采用过采样以防止噪声的干扰-uart verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2674
    • 提供者:李天一
  1. generic_fifos_latest.tar

    0下载:
  2. fifo的verilog代码,包含rtl,sim,testbench内容的verilog代码,完全可用-rtl code of a fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:19987
    • 提供者:yy
  1. Nios_sram24

    0下载:
  2. 自己的毕设代码。实现用SDRAM运行nios,同时用SRAM保存摄像头数据。中间利用fifo可以保存两帧图像-Own the complete set up code. SDRAM running nios, SRAM save camera data. Intermediate use of fifo can save the image of the two
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:4754776
    • 提供者:liuxiaolei
  1. UART_Transmitter_Arch

    0下载:
  2. 自己编写的带有FIFO的UART串口发送模块,代码通过状态机实现,开发语言是Verilog-I have written to the FIFO UART serial transmit module code through the state machine implementation, development languages ​ ​ Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1659
    • 提供者:wangzhongwei
  1. aFifo

    0下载:
  2. Function : Asynchronous FIFO VHDL CODE
  3. 所属分类:ELanguage

    • 发布日期:2017-12-09
    • 文件大小:1606
    • 提供者:amin
  1. fifo2

    0下载:
  2. 一种简单的FIFO的verilog代码,有利于理解FIFO的工作原理-code of fifo in verilog
  3. 所属分类:Parallel Port

    • 发布日期:2017-11-08
    • 文件大小:721
    • 提供者:司岚山
  1. fifoVerilog

    0下载:
  2. 设计一个异步FIFO,完成数据平滑功能,FIFO的深度为256,宽度为8位,实时给出读空和溢出指示,写时钟为带间隔的100MHz,读时钟为5MHz,代码为了便于读阅,存放在word文档,可直接拷贝到quartus或者ise编译平台下使用-Design an asynchronous FIFO, complete data smoothing function, the depth of the FIFO 256, and the width is 8 bits, real read empty
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:11683
    • 提供者:钱雪荣
« 1 2 ... 10 11 12 13 14 1516 17 18 19 »
搜珍网 www.dssz.com