CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - fir filter .VHDL

搜索资源列表

  1. FIR_TEST

    0下载:
  2. 应用matlab 软件设计了下变频器中的CIC、HB、FIR滤波器等核心模块,并将各模块融为一体从软件实现的角度完成了对系统的搭建和功能仿真。-About such key algorithms as CIC, HB, FIR of each module in down- conversion, discussion, abstraction and summarization are given in this paper. Using the MATLAB software, we des
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:182372
    • 提供者:邓建良
  1. 3

    0下载:
  2. 基于FPGA的高速高阶FIR滤波器设计 基于FPGA的高速高阶FIR滤波器设计-High-speed FPGA-based FIR filter design for high-end high-end high-speed FPGA-based FIR filter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5903143
    • 提供者:南才北往
  1. fri

    0下载:
  2. 滤波器的设计的,用于FIR滤波器的设计和应用-The design of filters for the FIR filter design and application
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:650325
    • 提供者:qian
  1. FIR

    0下载:
  2. 详细的介绍的通过DSP编写滤波器的过程,图形并茂,非常好的资料,希望与大家共享,共同进步,超棒的资料-Detail the preparation of the filter through the process of DSP, graphics and Mao, very good information, I hope to share with you and common progress, great information
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:248246
    • 提供者:爷们
  1. fir1

    0下载:
  2. this file consists of simple FIR filter designed with the fixed coefficients
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:982
    • 提供者:bharat kumar
  1. filter

    0下载:
  2. FIR数字滤波器的实现,采用Kaiser窗实现高精度的地痛滤波器。-The realization of FIR digital filter using Kaiser window filter to achieve high accuracy in pain.
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:4064
    • 提供者:Jin Wei
  1. fir

    0下载:
  2. vhdl code for fir filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:614
    • 提供者:praba
  1. VHDLonfir

    0下载:
  2. FIR滤波器在VHDL中使用(顺序)PROCESS声明或者是加法器和乘法器的“组件 实例”来实现-FIR filter in VHDL use (in order) PROCESS statement or the adder and the multiplier " component instance" to achieve the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:956
    • 提供者:wangYC
  1. fir_filter

    0下载:
  2. 实现滤波器的功能,有限冲激响应(FIR)数字滤波器和无限冲激响应(IIR)数字滤波器广泛应用于数字信号处理系统中。IIR数字滤波器方便简单,但它相位的非线性,要求采用全通网络进行相位校正,且稳定性难以保障。FIR滤波器具有很好的线性相位特性,使得它越来越受到广泛的重视。-Realize the filter function, finite impulse response (FIR) digital filters and infinite impulse response (IIR) dig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:945511
    • 提供者:陈辉
  1. eda

    0下载:
  2. 利用vhdl设计fir滤波器,有完整程序, 包含加法器,乘法器。-Design using vhdl fir filter, a complete program, including adders, multipliers.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-07
    • 文件大小:186750
    • 提供者:黄林
  1. 32jie-vhdl-fir

    0下载:
  2. 32阶数字滤波器 没有时间来得及精简 不好意思了的说 呵呵 -32-order digital filter is not time enough time to streamline embarrassed to say Oh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2143
    • 提供者:哈飞
  1. firfilter

    0下载:
  2. this is a coding file for FIR filter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2531
    • 提供者:rakhi
  1. 21840263filter-vhdl-code

    0下载:
  2. 这是我看到的一个关于FIR滤波器的资料,和大家分享。-This is what I see about FIR filter information to share with you.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:173755
    • 提供者:许音
  1. 20FIRfilterwithCSD

    0下载:
  2. 20阶FIR滤波器,用CSD编码对参数进行了设计-20-order FIR filter with CSD coding of the design parameters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2686
    • 提供者:zhuhui
  1. VHDL

    0下载:
  2. 滤波器 VHDL 应用VHDL基于FPGA设计FIR滤波器-Application of VHDL-based FPGA VHDL filter FIR filter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:9010
    • 提供者:张硕
  1. da

    0下载:
  2. FIR滤波器利用串行DA算法实现16阶的,直接可用 ,用VHDL编程-Serial DA FIR filter algorithm using 16 bands, directly available, VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:215116
    • 提供者:赵擎天
  1. fir

    0下载:
  2. vhdl code for fir filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1182
    • 提供者:lekshmi
  1. 17jieFIR

    0下载:
  2. 17阶FIR滤波器VHDL代码及说明文档-17-order FIR filter VHDL code and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:722144
    • 提供者:钟祥
  1. VHDL_TipsTricks

    0下载:
  2. tips to design fir filter step by step
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:527093
    • 提供者:datta
  1. code

    0下载:
  2. it is the collection of the modules involved inthe design of digital fm.the code coves the key components like numerically controlled oscillator, loop filter, fir filter ,phase detector along with the complete cicuit implementation of the digital fm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4819
    • 提供者:syamprasad
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com