CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - gray counter

搜索资源列表

  1. counter_3

    0下载:
  2. 三种计数器的verilog实现,二进制计数器,格雷码计数器,约翰逊计数器.初学硬件描述语言可参考。-Three kinds of counter verilog implementation of a binary counter, gray code counter, Johnson counter beginner hardware descr iption language can refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1395
    • 提供者:李菲
  1. graycnt_3

    0下载:
  2. 3位格雷码计数器的verilog描述及仿真波形-3 Gray code counter verilog descr iption and simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1614
    • 提供者:李慧静
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter function modules, variable-step add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:423791
    • 提供者:俞皓尹
  1. graycnt_14

    0下载:
  2. 14位格雷码计数器的verilog描述及仿真波形-14-bit Gray code counter verilog descr iption and simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2163
    • 提供者:李慧静
  1. GrayCnt

    0下载:
  2. 格雷码计数器 VerilogHDL语言编写-Gray-code counter using VerilogHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:7806
    • 提供者:zy
  1. GrayCounter2

    0下载:
  2. gray counter for async FIFO design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:536
    • 提供者:zismad
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. GrayCnt

    0下载:
  2. 格雷码计数器的verilog实现,做通讯的朋友可以-Gray code counter verilog implementation, so friends can see communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:929
    • 提供者:
  1. PLD

    0下载:
  2. PLD实验代码,包括格雷码计数器、键盘扫描和LED点阵显示、SRAM读写、LCD12864显示汉字。-PLD experimental code, including the Gray code counter, keyboard scanning and LED dot matrix display, SRAM read and write, LCD12864 display Chinese characters.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:132209
    • 提供者:马昭鑫
  1. 2

    0下载:
  2. 格雷码转换 计数器的实现 两个程序的实现-Gray code conversion Implementation of counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:593
    • 提供者:guoliang
  1. gray

    0下载:
  2. 基于Verilog的GRAY计数器。以及测试文件,在simulation的文件件中的top文件。-Based on Verilog, GRAY counter. And test files, the files in the simulation of the top pieces of the file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2417865
    • 提供者:栾帅
  1. STM32_graycntr

    0下载:
  2. Gray counter example for STM32 and CodeSourcery G-Gray counter example for STM32 and CodeSourcery GCC
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:67180
    • 提供者:Vaclavpe
  1. cc

    0下载:
  2. 灰常 好用的电路板和程序,实现计数器功能-Often gray ash often easy to use circuit boards and programs to achieve counter function
  3. 所属分类:Multi Monitor

    • 发布日期:2017-04-17
    • 文件大小:35929
    • 提供者:李剑峰
  1. geleima--10

    1下载:
  2. 格雷码计数器 vhdL实现 quartus编译通过-Gray code counter VHDL quartus compiled by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:782971
    • 提供者:蒲瑞瑞
  1. Gray-Counter

    0下载:
  2. 格雷码,用于理解格雷码的的功能,减少出错。同样对于卡诺图很用吧。-Gray code, Gray code, the function used to understand and reduce errors. The same for the Karnaugh map.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:666
    • 提供者:郭稳
  1. fifo-code

    0下载:
  2. Verilog代码:同步\异步FIFO。包含格雷码计数器.-Verilog code: syncronous\asyncourous FIFO. containing gray counter.
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:2797
    • 提供者:王文
  1. FIFO-and-CAM

    0下载:
  2. verilog code for gray counter,synchronous and asynchronous fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:25464
    • 提供者:Abhijeet
  1. verilog-8-bit-Gray-Counter

    0下载:
  2. Verilog 8 bit Gray Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:9771
    • 提供者:cmags
  1. Gray Counter

    0下载:
  2. Gray counter verilog code
  3. 所属分类:其它

  1. gray_counter

    0下载:
  2. 格雷码计数器实质包含了三个部分 格雷码转二进制、加法器、二进制转格雷码。通过quartus II 自带的Modlesim仿真验证了 能够实现二进制和格雷码之间的转换(Gray counter essence contains three parts, gray code to binary adder, binary gray code conversion. Modlesim simulation by quartus with II verified to achieve the conve
  3. 所属分类:其他

    • 发布日期:2018-01-08
    • 文件大小:2977792
    • 提供者:hay_123
« 12 »
搜珍网 www.dssz.com