CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - synchronous counter vhdl

搜索资源列表

  1. experiment4_play

    0下载:
  2. VHDL实验四,设计一个异步清零和同步时钟使能的4位加法计数器-VHDL Experiment 4, an asynchronous reset and synchronous design clock enable 4-bit adder counter
  3. 所属分类:VHDL编程

    • 发布日期:2012-01-11
    • 文件大小:195145
    • 提供者:testsb
  1. 123654vhaing

    0下载:
  2. 八音自动播放电子琴设计 vhdl源码,文件内有具体注释 [VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9- -Octave electronic keyboard play aut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:231894
    • 提供者:杨领超
  1. counter_12

    0下载:
  2. 使用VHDL语言编写的十二进制计数器,有异步清零、同步置数的功能、-Using the VHDL language of the 10 binary counter, there are asynchronous clears, synchronous set the number of functions,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:624536
    • 提供者:liwx
  1. hw3

    0下载:
  2. Write VHDL codes to model an 8-bit counter that counts every second. It counts from your last two digits of your student ID to your next two digits of your student ID. If the last two digits are greater than the next two digits, the counters counts d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:352708
    • 提供者:vinay
  1. AssignmentP7

    1下载:
  2. 1. Design a VHDL model for a 4-bit up-and-down synchronous binary counter with carry and borrow signs using FSM. Verification of this design is especially appreciated.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:205993
    • 提供者:魏攸
  1. COUNT10

    0下载:
  2. 基于FPGA的一个带有异步复位和同步时钟使能的十进制加法计数器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA with a reduction of asynchronous and synchronous clock can make the decimal additions counter design, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:242887
    • 提供者:左云华
  1. syncup_dn

    0下载:
  2. VHDL CODE FOR SYNCHRONOUS UP/DOWN COUNTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:834528
    • 提供者:pinky
  1. SyncounterFinal

    0下载:
  2. 在Xillinx ISE 平台上利用VHDL语言实现同步计数器,利用状态机实现,导入FPGA版点亮7段数码管并实现加、减计数功能。-The programme realizes a counter based on synchronous state machines, and it can be download to a FPGA chip.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-07
    • 文件大小:1499311
    • 提供者:木白
  1. shiyan2

    0下载:
  2. 含异步清0和同步时钟使能的加法计数器的设计,可以从0加到99,使用VHDL语言-Cleared containing asynchronous and synchronous clock enable the addition of counter design, added to 99 can range 0, the use of VHDL language
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1424
    • 提供者:mike wong
« 1 2»
搜珍网 www.dssz.com