CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - veril

搜索资源列表

  1. I2C

    0下载:
  2. Verilog实现的I2C协议,直接在ISE下打开就可以-Verilog implementation I2C protocol to open directly in the ISE can be
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:212626
    • 提供者:Roy
  1. UART

    0下载:
  2. Verilog实现的UART程序,用ISE打开工程文件即可-Verilog implementation UART program, open the project file with the ISE can be
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:22150
    • 提供者:Roy
  1. i2c_AT24C04_Verilog

    1下载:
  2. 用Verilog HDL语言编写的AT24C04程序,并用数码管显示,已经过测试,很好用-With the Verilog HDL language of the AT24C04 procedures and use digital tube display, has been tested, very good to use--
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-26
    • 文件大小:11043
    • 提供者:iyandy
  1. APB_I2S

    1下载:
  2. 这是一个中文版的i2S总线,对搞硬件的朋友会有帮助的-This is a Chinese version of the i2S bus, friends are engaged in the hardware would be helpful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1704
    • 提供者:王涛
  1. PISO

    0下载:
  2. It is then register ( shifter) PISO ( Parallel - in, serial - out)-It is then register ( shifter) PISO ( Parallel- in, serial- out)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:668
    • 提供者:L.S
  1. fft

    0下载:
  2. 基4快速傅里叶变换,涉及cordic算法,可以用来学习-fft
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:83326
    • 提供者:sun
  1. TLC5510

    0下载:
  2. tlc5510的vhdl程序,有详细的工程文件,为初学者提供很好多的资料-tlc5510 of vhdl procedures, detailed engineering documents, in order to provide a good amount of information for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:35466
    • 提供者:wangyl
  1. Interface

    1下载:
  2. 基于FPGA环境下ISA总线模块程序实现,已通过调试-FPGA-based ISA bus module environment program implementation, has passed the commissioning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1122
    • 提供者:zheshu
  1. zlg_avalon_rtl8019

    0下载:
  2. 周立功公司rtl8091IP核,接avalon总线,可实现以太网通信,有详细的说明-ZLG' s rtl8091IP nuclear, then avalon bus, Ethernet communications can be realized, a detailed descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:14720
    • 提供者:KKK
  1. camera_link

    0下载:
  2. 对camera_link接口传输过来的信号进行格式转换,将16bit并行转换成串行输出-Right camera_link interface transfer over the signal format conversion will be converted into serial 16bit parallel output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1727436
    • 提供者:徐琪
  1. DAC_TLV5616

    1下载:
  2. tlv5614的驱动程序,用verilog语言编写的,fpga芯片为altera公司的ep2c35。 调试成功放心使用-tlv5614 driver, using verilog language written in, fpga chips altera company ep2c35. Assured the success of the use of debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:352557
    • 提供者:王乐
  1. 50846288C

    0下载:
  2. verilog 硬件编程实现bpsk调制-verilog hardware, programming bpsk Modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:50884
    • 提供者:凡要林
  1. DDS

    0下载:
  2. 同时用verilog 语言编写dds原代码用于生成正余弦波,并在FPGA平台进行验证-described dds direct digital frequency synthesis of the basic tenets addition to the use of verilog prepared dds source used to produce sine, and FPGA development platform for verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1082
    • 提供者:scond
  1. async_fifo

    0下载:
  2. verilog HDL写的异步fifo代码及测试平台,直接可用,可生成RTL代码-asynchronous fifo write verilog HDL code and test platform, directly available, can generate RTL code for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:62158
    • 提供者:张晗
  1. c_FPGA

    0下载:
  2. RS232设计,硬件测试通过,VERILOG实现的,比较好的哦-RS232 design, hardware test, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1249471
    • 提供者:洪依
  1. c_xapp851

    0下载:
  2. 这是xilinx应用指南xapp851的中文版本。本应用指南描述了在 Virtex™ -5 器件中实现的 200 MHz DDR SDRAM (JEDEC DDR400 (PC3200) 标准)控制器。本设计实现使用 IDELAY 单元调整读数据时序。读数据时序校准和调整在此控制器中完成。-This is the xilinx application note xapp851 the Chinese version. This application note describes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:408310
    • 提供者:陈阳
  1. SCRAMBLER

    1下载:
  2. 32位扰码器的verilog代码,编译通过-The Verilog code of 32_bit scrambler
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-11
    • 文件大小:1056
    • 提供者:朱猪
  1. FPGA_cy7c68013

    0下载:
  2. 本工程包括FPGA程序和CY7C68013固件程序。 上位机程序通过EZ-USB CONTROL PANNEL 来测试。-The works include the FPGA programs and CY7C68013 firmware. Host computer procedure EZ-USB CONTROL PANNEL to test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5124927
    • 提供者:zhaox
  1. ADS7852

    0下载:
  2. FPGA采用VHDL语言驱动ADS7852的程序,-FPGA and ADS7852
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:239303
    • 提供者:xila
  1. Chapter-8

    0下载:
  2. Verilog编写的CAN通讯程序,通过验证,并支持CAN1.1,CAN2.0b协议。-CAN communication procedures written in Verilog, through validation, and support CAN1.1, CAN2.0b agreement.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:687144
    • 提供者:张跃平
« 1 2 ... 5 6 7 8 9 1011 12 13 »
搜珍网 www.dssz.com