CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - verilog 学习

搜索资源列表

  1. T6_SRAM

    0下载:
  2. 学习SRAM编程,可以运行,对学习verilog很有帮助-Learning SRAM programming, you can run verilog helpful in learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1875533
    • 提供者:李雷
  1. EEthhernet_vet

    0下载:
  2. Ethernet(以太网)verilog ip core用veriloggHDL语言写的以太网软核,对学习verilog语言与以太网有非常大帮助。 -Ethernet (Ethernet) Verilog the ip core with veriloggHDL language Ethernet soft-core, there is a very big help to learn verilog language and Ethernet.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:907158
    • 提供者:面积
  1. dlx_verilog

    0下载:
  2. 使用verilog语音开发的dlx精简指令系统,简单的功能实现,适合初学者学习。-The use the verilog voice development of the dlx Reduced Instruction Set, simple functions, suitable for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9781
    • 提供者:kean
  1. verilog37

    0下载:
  2. verilog实验37个程序,里面是比较经典实用的模块功能程序,对学习verilog进阶学习还是不错的-37 verilog experimental procedure, which is classic and practical module program, advanced learning is good learning verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:174954
    • 提供者:宋伟杰
  1. EPM240-board

    0下载:
  2. 基于EPM240的入门实验拥有大量的实验历程完全可以学习掌握Verilog语言。-Based on the the EPM240 entry experiments have a large number of experimental course can learn to master the Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:1527808
    • 提供者:gcy
  1. wuyue_FPGA_Week_11

    0下载:
  2. 一些硬件逻辑电路哦培训 大家一起学习用哦-verilog study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8520051
    • 提供者:kevin
  1. VModdels_and_e

    0下载:
  2. VerilogHDL高级数字设计书中源代代码适合学习verilog编程与开发者学习 -The advanced digital design book in VerilogHDL source code code for learning verilog programming and developers to learn
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:405367
    • 提供者:推翻
  1. VDE22_NIOS_HOe

    0下载:
  2. Verilog代码,适合于初学入门者者进行学习,是一种基于DE2平台的代码。 -Verilog code, suitable for novice beginners to learn, a code based on the DE2 board.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:11561
    • 提供者:推翻
  1. clkdivverilog

    0下载:
  2. Verilog HDL 分频,特权同学的分频程序,是学习FPGA不可多得的入门程序!-Verilog HDL clock div
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:186368
    • 提供者:陈伟
  1. idea

    0下载:
  2. verilog的学习很重要的教程,有很大的好处。-verilog tutorial learning is important, a great advantage.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5034
    • 提供者:ethan
  1. Verilog_HDL

    0下载:
  2. verilog的学习很重要的教程,有很大的好处。-verilog tutorial learning is important, a great advantage.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:262678
    • 提供者:ethan
  1. altera_fft

    1下载:
  2. verilog实际例子,非常适合初学者学习-verilog practical examples, very suitable for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1297074
    • 提供者:王林
  1. verilog_2

    0下载:
  2. Verilog的入门 数字系统设计的基本技术介绍 ,精讲Verilog入门学习的主要难点-Verilog digital system design entry basic technology introduction, Jingjiang Verilog the main difficulties in getting started
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:259341
    • 提供者:鲁东
  1. new_triangle

    0下载:
  2. verilog HDL长生三角波,很简单的程序,大家可以看看,互相学习一下!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:279802
    • 提供者:carry
  1. eetop.cn_SDRAM

    0下载:
  2. 实现sdram控制器的verilog代码,很好的学习资料-The sdram controller verilog code, very good learning materials
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:6447
    • 提供者:李军
  1. fifo

    0下载:
  2. 同步fifo的verilog代码,很好的资料,值得学习-Synchronous fifo verilog code, very good information, it is worth learning
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:734
    • 提供者:李军
  1. Example-8-1

    0下载:
  2. 我的观点是Verilog和VHDL对于高手而言各有利弊,Verilog感觉更适合于RTL(寄存器传输级)的描述,而VHDL更适于System级的建模。 但是初学者强烈建议学习Verilog,更容易入手些,但是学习过程中一定要注意下面一点,毕竟国内外大公司现在大都采用Verilog是有其原因的。 l FPGA/CPLD、ASIC的逻辑设计所采用的硬件描述(HDL)语言是同软件语言(如C,C++等)是有本质区别的!虽然Verilog很多语法规则和C语言相似,但是Verilog是硬件描述
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:430406
    • 提供者:王锋
  1. IIC

    0下载:
  2. 实现一个i2c总线结构,采用verilog语言实现,主要用于总线结构的学习,大家可以看看!-A i2c bus architecture using verilog language, mainly used in the bus structure learning, we can see!
  3. 所属分类:OS Develop

    • 发布日期:2017-11-06
    • 文件大小:2324
    • 提供者:rsw
  1. VMMing-a-SV

    0下载:
  2. vmm不错的学习资料,如何搭建testbench,很多实用的例子。推荐初学者。-study vmm of system-verilog
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:742126
    • 提供者:clevenad
  1. DAC0832

    0下载:
  2. DAC0832的Verilog代码,适用于与ADC0809同时学习,效果明显!-DAC0832 Verilog code, applicable at the same time with ADC0809 learning, the effect is obvious!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:22528
    • 提供者:杨开意
« 1 2 ... 25 26 27 28 29 3031 32 33 34 35 ... 39 »
搜珍网 www.dssz.com