CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vivado

搜索资源列表

  1. VHDLcounter

    0下载:
  2. VHDL,四位counter,用Vivado写的,可运行,可模拟,可仿真,可写入硬件里,四个指示灯会每一秒闪一次。
  3. 所属分类:VHDL编程

    • 发布日期:2019-04-09
    • 文件大小:67801
    • 提供者:ftqa@qq.com
  1. 02Kintex修炼秘籍-MIG DDR应用3缓存设计

    1下载:
  2. vivado下的MIG教程,适用于XILINX 7系列FPGA(MIG tutorial under vivado.)
  3. 所属分类:其他

    • 发布日期:2020-03-03
    • 文件大小:4861952
    • 提供者:城北的D1B
  1. vivado

    2下载:
  2. 用中规模MSI基本逻辑功能模块 实现关模比较器(要求分别使用中规模和语言实现): 功能要求:它的输入是两个8位无符号二进制整数X和Y,以及一个控制信号S;输出信号为1个8位无符号二进制整数Z。输入输出关系为:当S=1时, Z=min(X,Y);当S=0时, Z=max(X,Y)。(Modeling comparator is implemented by using basic logic function modules of medium-scale MSI (medium-scale an
  3. 所属分类:微处理器开发

    • 发布日期:2020-05-12
    • 文件大小:10240
    • 提供者:瘾1581
  1. AD9361_ZYNQ_PL

    10下载:
  2. ZYNQ FPGA XC7Z035纯verilog配置AD9361 基于VIVADO2016.4工程(ZYNQ FPGA XC7Z035 Pure Verilog Configuration AD9361 Based on VIVADO 2016.4 Project)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-04-14
    • 文件大小:19456
    • 提供者:壮胆
  1. S03_基于ZYNQ的DMA与VDMA的应用开发

    2下载:
  2. VIVADO dma以及vdma 使用文档 基于ZYNQ 7020(vivado DMA&VDMA example text of zynq)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-05
    • 文件大小:9689088
    • 提供者:kernelstory
  1. S04_基于ZYNQ的HLS 图像算法设计基础

    1下载:
  2. VIVADO HLS IMAGE 使用文档(vivado image processing example text of zynq)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-06-05
    • 文件大小:7998464
    • 提供者:kernelstory
  1. S05_example_Network

    1下载:
  2. vivado lwip 应用文档 基于zynq 7020(vivado lwip example text of zynq)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-05
    • 文件大小:3401728
    • 提供者:kernelstory
  1. PDM2PCM.srcs

    7下载:
  2. use verilog to trans PDM to PCM signal,use vivado
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-15
    • 文件大小:7237632
    • 提供者:hcccc1117
  1. fpg

    1下载:
  2. vivado file for ram test.
  3. 所属分类:CA认证

  1. AM调制解调

    1下载:
  2. 基于Artix-7 FPGA的AM调制解调代码,从AD读入信号后,进行AM调制,并解调输出(将代码分成两个工程就是AM的调制和解调),其中解调用到的数字滤波采用MATLAB设计(The AM modulation and demodulation code based on artix-7 FPGA, after reading the signal from AD, carries out AM modulation, and demodulates the output (the code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-20
    • 文件大小:41027584
    • 提供者:Emmanuel000
  1. sram_ctr

    1下载:
  2. SRAM VERILOG 实现FPGA控制SRAM的功能。测试可以使用。(SRAM verilog fpga vivado ise quartus.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-25
    • 文件大小:1024
    • 提供者:hwz
  1. 异步FIFO的简单设计

    1下载:
  2. 顶层连接读写模块,调用vivado IP核做缓存模块,实现读空、写满的设计
  3. 所属分类:VHDL编程

  1. lab6

    0下载:
  2. 使用vivado和Xilinx开发板实现VGA图像显示,开发板为Xilinx Artix-7(Using vivado and Xilinx development board to realize VGA image display, the development board is Xilinx artix-7)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-19
    • 文件大小:11264
    • 提供者:懂王
  1. lab7

    1下载:
  2. 使用vivado和Xilinx开发板实现蓝牙远程控制,开发板为Xilinx Artix-7(Using vivado and Xilinx development board to realize Bluetooth remote control, the development board is Xilinx artix-7)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-02
    • 文件大小:201728
    • 提供者:懂王
  1. 581371_H.264verilog

    4下载:
  2. H264编码 verilog vivado(H264encoder verilog vivado)
  3. 所属分类:其他

    • 发布日期:2021-04-11
    • 文件大小:1091584
    • 提供者:毕业
  1. Project05_LwIP

    2下载:
  2. Vivado v2018.3 LWIP ZYNQ project
  3. 所属分类:其他

    • 发布日期:2021-03-08
    • 文件大小:18829312
    • 提供者:ivan.brovkin
  1. vivado实现番茄钟功能

    1下载:
  2. ego1板子,番茄钟,可以实现调节时间,25分钟计时功能
  3. 所属分类:Linux/Unix编程

« 1 2 ... 8 9 10 11 12 13»
搜珍网 www.dssz.com