CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 三角波

搜索资源列表

  1. an_r01an0802ee0100_rl78g13_rsk[IAR]

    0下载:
  2. 生成三角波信号,用仿真软件的语言编辑界面编写- Triangle single
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:2007258
    • 提供者:xuling
  1. Signal-Generator

    0下载:
  2. 信号发生器,可以生成多种波形,矩形波、三角波-Signal Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:28180
    • 提供者:yangsheng
  1. DDS

    0下载:
  2. 基于DDS原理,利用VHDL语言进行正弦波、三角波、锯齿波、矩形波等波形的发生。包括完整代码和QUARTUS II工程。-Based on DDS principle, the use of VHDL, sine, triangle, sawtooth, square wave waveform occurs. Including the complete code and QUARTUS II project.
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:147836
    • 提供者:chuangfen
  1. waves

    0下载:
  2. 使用labwindows实现的简单的波形显示,可显示冲击、脉冲、斜坡、正弦波、锯齿波、三角波、方波信号,并可变换频率-Labwindows achieved using simple waveform display can show the impact of the pulse, ramp, sine, sawtooth, triangle wave, square wave signal, and converting the frequency
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:330418
    • 提供者:zengfanrong
  1. lissar_figure

    0下载:
  2. 利用matlAB中自带的guide工具,绘制三维李萨如图形,也可绘制广义的李萨如图形,包括矩形波,三角波-the simulation of lissar figure
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:17962
    • 提供者:doc wang
  1. Cyuyanzhongduanfangshi-

    0下载:
  2. 单片机信号发生器,采用C语言中断方式,用于产生正弦波、三角波、方波、锯齿波,附有注释,可靠易行,绝对经典。-SCM signal generator, using C language interrupt mode, used to generate sine, triangle wave, square wave, sawtooth, annotated, reliable and easy, absolute classic.
  3. 所属分类:CSharp

    • 发布日期:2017-04-10
    • 文件大小:1386
    • 提供者:周云婷
  1. freq_k

    0下载:
  2. 正弦信号,余弦信号和三角波信号发生器,并且可以调幅度-Sine signal and cosine signal and the triangular wave signal generator
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2060806
    • 提供者:莫小竹
  1. Text2

    0下载:
  2. 单片机应用平台的简易频率计设计.频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。-Simple frequency meter design based on single chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1611
    • 提供者:李鹏
  1. E2_1_BasicWave

    0下载:
  2. ,依次产生均匀分布的随机序列、高斯白噪声随机序列、方波信号序列、三角波信号序列、正弦波信号序列, 以及信噪比SNR为10dB的加性高斯白噪声正弦信号。-Sequentially generating a random sequence uniformly distributed white noise Gaussian random sequence, the signal sequence of the square wave, triangle wave signal sequence
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:906
    • 提供者:殷兆云
  1. boxingfashengqi

    0下载:
  2. 该源代码主要能实现的是三种波形的输出,分别为三角波、方波、锯齿波。并且能对波形的频率进行增大或者减小。-The source code is mainly to achieve the output waveform of the three, namely triangle wave, square wave, sawtooth wave. The frequency of the waveform and can be increased or decreased.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-25
    • 文件大小:13181
    • 提供者:倪杭建
  1. Verilog_DDS

    0下载:
  2. 基于dds的方波 三角波等波形发生器的实现-Dds achieve square wave triangle wave waveform generator based
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2001
    • 提供者:李承阳
  1. DDS

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号发生器,。
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4485169
    • 提供者:冯阳
  1. xinhao

    0下载:
  2. 信号的产生,包括三角波,正弦波,锯齿波的发生,可以自己进行频率的设定。-signal
  3. 所属分类:CSharp

    • 发布日期:2017-04-26
    • 文件大小:40644
    • 提供者:罗望
  1. PD4

    0下载:
  2. 基于MSP430的各种显示程序,包括显示字符,汉字,图片,自绘波形(正弦波,三角波,方波)-Various MSP430 based display program, including the display character, Chinese characters, pictures, drawn from the waveform (sine wave, triangle wave, Fang Bo)
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:263302
    • 提供者:吴文杰
  1. CSharp-Csharp-CPP

    0下载:
  2. C#,Csharp, C++绘制数学函数曲线,温度曲线 包括正弦函数,余弦函数和三角波函数-C#,Csharp, C++ depict math curve
  3. 所属分类:CSharp

    • 发布日期:2017-03-22
    • 文件大小:726698
    • 提供者:LiXiuRong
  1. Triangle

    0下载:
  2. vhdl 实现三角波输出,分辨率可调,与比较器连用可以实现PWM输出-VHDL generic Triangle,ENTITY Triangle IS port( rst : in std_logic clk : in std_logic tri_data:out std_logic_vector(7 downto 0) ) end Triangle
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:587
    • 提供者:zpf
  1. 122

    0下载:
  2. 实现函数波形输出的proteus仿真图,可实现锯齿波,三角波,方波输出-The realization of Proteus simulation map function waveform output
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:19633
    • 提供者:lili
  1. waveform_generator

    0下载:
  2. 波形发生器能自由的切换 三角波 矩形波,正弦波,锯齿波。-Waveform Generator
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-29
    • 文件大小:27394
    • 提供者:巅峰
  1. four-waveform-generator

    0下载:
  2. 基于51单片机和DAC0832的波形发生器,方波三角波正弦波锯齿波四种波形。频率和输出波形极性可调,方波占空比可调。-MCS-51 based signal generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:50061
    • 提供者:Gavin Zhang
  1. six_wave

    0下载:
  2. 产生六种波形的DDS信号发生器,用verilog实现,有modersim仿真程序和结果,产生正玄波,方波,锯齿波,三角波,阶梯波。实现完全可用-the dds can output six signal,write in verilog。
  3. 所属分类:Other systems

    • 发布日期:2017-05-03
    • 文件大小:782073
    • 提供者:huawei
« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com