CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 搜索资源 - 数字时钟verilog

搜索资源列表

  1. digitalclock

    0下载:
  2. Verilog数字时钟 实现24小时的监控,用七段码显示出来,包含时序图等 在ISE下仿真-digital clock Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:370677
    • 提供者:唐新明
  1. digi_clock

    1下载:
  2. VerilogHDL程序,功能是可以实现一个数字电子时钟。-It s a Verilog-HDL procedure which can makes a digital electronic clock.
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-27
    • 文件大小:82026
    • 提供者:朱惠雅
  1. Verilog

    0下载:
  2. 用Verilog语言编写的多功能数字钟,用七段显示时钟-Verilog language, multi-function digital clock clock, seven-segment display
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:140179
    • 提供者:bingye
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. fuck

    0下载:
  2. verilog写的数字时钟,可以暂停时间,写入时间,最好用外扩键盘不然程序会跳动!-digital clock verilog to write, you can pause time, write time, the best keyboard or external expansion program to beat!
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:2822411
    • 提供者:钱云捷
  1. myclock

    0下载:
  2. 用Verilog HDL设计一个数字时钟,显示时分秒,日期。其中有一个信号键控制显示时钟还是日期。-Using Verilog HDL design a digital clock display minutes and seconds, date. A signal to control the display clock or date.
  3. 所属分类:Other systems

    • 发布日期:2017-12-08
    • 文件大小:547849
    • 提供者:周梅姬
  1. miaobiao

    0下载:
  2. 基于fpga的多功能数字时钟 在数码管显示 verilog语言编写 可实现校时 暂停以及设定闹钟的功能-FPGA time clock
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:76129
    • 提供者:黄胡
  1. digital--clock

    1下载:
  2. 用verilog实现的一个简单的数字时钟,已通过仿真验证。-the realization of digital clock on the basis of verilog hdl
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:701
    • 提供者:huowuzui
  1. shuzhishizhong

    0下载:
  2. 数字时钟的verilog程序,课程设计,数字电子技术实验,VHDL-VHDL Verilog.
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:846501
    • 提供者:s
  1. shiyan

    0下载:
  2. 用verilog语言实现数字中, 在fpga上实现(Using Verilog language to achieve digital, implemented on FPGA)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:3103744
    • 提供者:米饭0315
  1. oscillo_1

    0下载:
  2. 简单数字示波器的verilog设计,涉及到时钟同步,FIFO的配置和使用,非常适合用来学习FPGA以及熟悉quartus II 软件。(digital oscilloscope design)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:5110784
    • 提供者:Ianlovelynn
  1. timer_se

    0下载:
  2. 数字时钟可以显示分、秒,并通过按键进行复位;数字时钟由四个基本模块组成,顶层模块、分频模块、计数模块、译码显示模块。(1)分频模块 分频器将开发板提供的6MHz时钟信号分频得到周期为1s的控制信号,控制计数器改变状态。(2)计数模块:秒钟和分钟利用两个模60的BCD码计数器实现。计数器分为高4位与低4位分别控制低4位每秒钟加1,变化状态为0~9,低4位状态变化到9时,高4位加1,变化状态为0~5。秒钟计数达到59时,分钟低四位从1开始,每59秒加1,低4位状态变化到9时,高4位加1,变化状态为0
  3. 所属分类:其他

  1. 8bit-freqDetect

    1下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test 10 Hz ~ 10 MHz square wave si
  3. 所属分类:其他

    • 发布日期:2019-12-12
    • 文件大小:140288
    • 提供者:鹏jjjjj
搜珍网 www.dssz.com